这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » 求助,MODELSIM仿真输出为红线U

共13条 1/2 1 2 跳转至

求助,MODELSIM仿真输出为红线U

菜鸟
2010-05-18 10:34:02     打赏

用DSP-BUILDER在SIMULINK中生成模块(只加输入和输出的24位ALTBUS,中间无任何器件模块,仅为了测试MODELSIM仿真),然后用SIGNAL COMPILER输出VHDL文件,打开MODELSIM将其编译,然后用VERILOG写了TESTBENCH,但运行前仿真时,输出无信号(为红线U)。求救!!TESTBENCH是找例子改的,小弟初来乍到,请大家多批评指教

(以下是SIGNAL COMPILER生成HDL文件和用VERILOG写的TESTBENCH)
DUT:
-- This file is not intended for synthesis, is is present so that simulators
-- see a complete view of the system.

-- You may use the entity declaration from this file as the basis for a
-- component declaration in a VHDL file instantiating this entity.

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.NUMERIC_STD.all;

entity firdigital1 is
 port (
  Clock : in std_logic;
  aclr : in std_logic;
  firdigital1_Subsystem_Output : out std_logic_vector(33-1 downto 0);
  firdigital1_Subsystem_Output1 : out std_logic_vector(24-1 downto 0);
  firdigital1_Subsystem_Xin : in std_logic_vector(24-1 downto 0)
 );
end entity firdigital1;

architecture rtl of firdigital1 is

component firdigital1_GN is
 port (
  Clock : in std_logic;
  aclr : in std_logic;
  firdigital1_Subsystem_Output : out std_logic_vector(33-1 downto 0);
  firdigital1_Subsystem_Output1 : out std_logic_vector(24-1 downto 0);
  firdigital1_Subsystem_Xin : in std_logic_vector(24-1 downto 0)
 );
end component firdigital1_GN;

begin

firdigital1_GN_0: if true generate
 inst_firdigital1_GN_0: firdigital1_GN
  port map(Clock => Clock, aclr => aclr, firdigital1_Subsystem_Output => firdigital1_Subsystem_Output, firdigital1_Subsystem_Output1 => firdigital1_Subsystem_Output1, firdigital1_Subsystem_Xin => firdigital1_Subsystem_Xin);
end generate;

end architecture rtl;




TESTBENCH:
module testbench;
 //module_item
 reg aclr;
 reg clock;
 reg [23:0] firdigital1_subsystem_xin;
 wire [32:0] firdigital1_subsystem_output;
 wire [23:0] firdigital1_subsystem_output1;

firdigital1 dut(.clock(clock),
.aclr(aclr),
.firdigital1_subsystem_xin(firdigital1_subsystem_xin),
.firdigital1_subsystem_output(firdigital1_subsystem_output),
.firdigital1_subsystem_output1(firdigital1_subsystem_output1));

initial begin
clock = 0;
forever #2 clock = ~clock;
end

initial begin
aclr = 1;
firdigital1_subsystem_xin = 5'b00000;
#2
aclr = 0;
firdigital1_subsystem_xin = 5'b00001;
#2
aclr = 1;
firdigital1_subsystem_xin = 5'b00000;
#2
aclr = 0;
#2
firdigital1_subsystem_xin = 5'b00001;
#2
#1000 $stop;
end

initial begin
  $timeformat(-3,1,"ms",12);

$display(" Time Clk Rst Ld SftRg Data Sel");

end

 endmodule;







关键词: 求助     MODELSIM     仿真     输出     红线     logi    

高工
2010-05-18 10:56:35     打赏
2楼
不知道你的功能,不好检查。

红色表示未知X,可能是没驱动,也可能是多驱动。
最好把dut中的所有信号都拿出来看。从源头上检查

菜鸟
2010-05-18 11:38:23     打赏
3楼

请教ACLR应该怎么设置,怎么驱动输出?


高工
2010-05-18 12:01:26     打赏
4楼

Aclr,从名称上看是异步清零,高电平有效。

所以一般是先赋0,一段时间后赋1,然后再给别的激励。

另外如果你的Timesclae是1ns,那么你的#2可能太短了


菜鸟
2010-05-18 12:36:19     打赏
5楼
多谢,多谢,我试试

院士
2010-05-18 13:18:55     打赏
6楼

不知道是否真的解决了?


菜鸟
2010-05-18 16:10:14     打赏
7楼
没有解决,依然如故。。。唉
头疼
老版,您用过DSP-BUILDER么?是不是SIGNAL COMPILER生成的HDL语言文件真的可用啊?我在怀疑
难不成要我直接对我设计的16阶FIR滤波器VERILOG或者VHDL编程?

菜鸟
2010-05-18 16:17:22     打赏
8楼
后来我把timescale设置为1ms,精度10ns了
编译DSP BUILDER自动生成的HDL文件是不是要编译所有的文件,还是就那个模型文件?
上图

高工
2010-05-18 16:32:23     打赏
9楼
没用过DSP-BUILDER.
你可以直接调用MEGACore 中的FIR IP。很方便的。
但没有license,不能离线用

菜鸟
2010-05-18 18:59:43     打赏
10楼

编译图中几个文件时发现我没加ALTERA库和DSP_BUILER的库
添加上后尝试,还是不行,线条编程蓝色,显示ZZZZZZZZZ(HIZ)
继续尝试。。。


共13条 1/2 1 2 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]