这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » MCU » RAM,SRAM,SDRAM工作原理 之一(连载)

共9条 1/1 1 跳转至

RAM,SRAM,SDRAM工作原理 之一(连载)

菜鸟
2010-12-08 17:58:22     打赏
存储原理:

  为了便于不同层次的读者都能基本的理解本文,所以我先来介绍一下很多用户都知道的东西。RAM主要的作用就是存储代码和数据供CPU在需要的时候调用。但是这些数据并不是像用袋子盛米那么简单,更像是 图书馆中用有格子的书架存放书籍一样,不但要放进去还要能够在需要的时候准确的调用出来,虽然都是书但是每本书是不同的。对于RAM等存储器来说也是一样的,虽然存储的都是代表0和1的代码,但是不同的组合就是不同的数据。

  让我们重新回到书和书架上来,如果有一个书架上有10行和10列格子(每行和每列都有0-9的编号),有100本书要存放在里面,那么我们使用一个行的编号+一个列的编号就能确定某一本书的位置。如果已知这本书的编号87,那么我们首先锁定第8行,然后找到第7列就能准确的找到这本书了。在RAM存储器中也是利用了相似的原理。

  现在让我们回到RAM存储器上,对于RAM存储器而言数据总线是用来传入数据或者传出数据的。因为存储器中的存储空间是如果前面提到的存放图书的书架一样通过一定的规则定义的,所以我们可以通过这个规则来把数据存放到存储器上相应的位置,而进行这种定位的工作就要依靠地址总线来实现了。对于CPU来说,RAM就象是一条长长的有很多空格的细线,每个空格都有一个唯一的地址与之相对应。如果CPU想要从RAM中调用数据,它首先需要给地址总线发送地址数据定位要存取的数据,然后等待若干个时钟周期之后,数据总线就会把数据传输给CPU。下面的示意图可以帮助你很好的理解这个过程。


菜鸟成长手册:详解内存工作原理及发展历程

存储原理

  上图中的小园点代表RAM中的存储空间,每一个都有一个唯一的地址线同它相连。当地址解码器接收到地址总线送来的地址数据之后,它会根据这个数据定位CPU想要调用的数据所在的位置,然后数据总线就会把其中的数据传送到CPU。

  上面所列举的例子中CPU在一行数据中每次知识存取一个字节的数据,但是在现实世界中是不同的,通常CPU每次需要调用32bit或者是64bit的数据(这是根据不同计算机系统的数据总线的位宽所决定的)。如果数据总线是64bit的话,CPU就会在一个时间中存取8个字节的数据,因为每次还是存取1个字节的数据,64bit总线将不会显示出来任何的优势,women工作的效率将会降低很多。

从“线”到“矩阵”

 

  如果RAM对于CPU来说仅仅是一条“线”的话,还不能体现实际的运行情况。因为如果实际情况真的是这样的话,在实际制造芯片的时候,会有很多实际的困难,特别是在需要设计大容量的RAM的时候。所以,一种更好的能够降低成本的方法是让存储信息的“空格”排列为很多行--每个“空格”对应一个bit存储的位置。这样,如果要存储1024bits的数据,那么你只要使用32x32的矩阵就能够达到这个目的了。很明显,一个32x32的矩阵比一个1024bit的行设备更紧凑,实现起来也更加容易。请看下图:

 


菜鸟成长手册:详解内存工作原理及发展历程(2)

  知道了RAM的基本结构是什么样子的,我们就下面谈谈当存储字节的过程是怎样的:上面的示意图显示的也仅仅是最简单状态下的情况,也就是当内存条上仅仅只有一个RAM芯片的情况。对于X86处理器,它通过地址总线发出一个具有22位二进制数字的地址编码--其中11位是行地址,另外11位是列地址,这是通过RAM地址接口进行分离的。行地址解码器(row decoder)将会首先确定行地址,然后列地址解码器(column decoder)将会确定列地址,这样就能确定唯一的存储数据的位置,然后该数据就会通过RAM数据接口将数据传到数据总线。另外,需要注意的是,RAM内部存储信息的矩阵并不是一个正方形的,也就是行和列的数目不是相同的--行的数目比列的数目少。(后面我们在讨论DRAM的过程中会讲到为什么会这样)

 

菜鸟成长手册:详解内存工作原理及发展历程(2)

  上面的示意图粗略的概括了一个基本的SRAM芯片是如何工作的。SRAM是“static RAM(静态随机存储器)”的简称,之所以这样命名是因为当数据被存入其中后不会消失(同DRAM动态随机存储器是不同,DRAM必须在一定的时间内不停的刷新才能保持其中存储的数据)。一个SRAM单元通常由4-6只晶体管组成,当这个SRAM单元被赋予0或者1的状态之后,它会保持这个状态直到下次被赋予新的状态或者断电之后才会更改或者消失。SRAM的速度相对比较快,而且比较省电,但是存储1bit的信息需要4-6只晶体管制造成本太高了(DRAM只要1只晶体管就可以实现)。

RAM芯片和SRAM芯片

 

  RAM芯片:

  前面的介绍都相对比较简单、抽象。下面我们会结合实际的RAM芯片进行介绍。在谈到这个问题的时候,我们会涉及到一个比较重要的技术:封装。你应该听说过诸如30线SIMMS、72线SIMMS和168线DIMMS或者RIMMs其中的一个或者几个术语吧。如果要解释这些术语之间的不同,就应该了解RAM的封装技术。

  SRAM芯片:

  早期的SRAM芯片采用了20线双列直插(DIP:Dual Inline Package)封装技术,它们之所以具有这么多的针脚,是因为它们必须:每个地址信号都需要一根信号线;一根数据输入线和一根数据输出线部分控制线(Write Enable, Chip Select);线和电源线

 


菜鸟成长手册:详解内存工作原理及发展历程(3)

  上图显示的是SRAM芯片,但是并不是下面示意图中的SRAM芯片,下面的是一个16K x 1-bit SRAM芯片的针脚功能示意图:

 

菜鸟成长手册:详解内存工作原理及发展历程(3)

  A0-A13是地址输入信号引脚,CS是芯片选择引脚。在一个实际的系统中,一定具有很多片SRAM芯片,所以需要选择究竟从那一片SRAM芯片中写入或者读取数据。WE是写入启用引脚(如上表,在CS、WE上面的线我没有写入,表示低电平有效或者是逻辑0时有效):当SRAM得到一个地址之后,它需要知道进行什么操作,究竟是写入还是读取,WE就是告诉SRAM要写入数据。Vcc是供电引脚。Din是数据输入引脚。Dout是数据输出引脚。GND是接地引脚

  Output:

  Enable(OE):有的SRAM芯片中也有这个引脚,但是上面的图中并没有。这个引脚同WE引脚的功能是相对的,它是让SRAM知道要进行读取操作而不是写入操作。从Dout引脚读取1bit数据需要以下的步骤:
SRAM读取操作:1)通过地址总线把要读取的bit的地址传送到相应的读取地址引脚(这个时候/WE引脚应该没有激活,所以SRAM知道它不应该执行写入操作)。2)激活/CS选择该SRAM芯片。3)激活/OE引脚让SRAM知道是读取操作。第三步之后,要读取的数据就会从DOut引脚传输到数据总线。怎么过程非常的简单吧?同样,写入1bit数据的过程也是非常的简单的。SRAM写入操作:1)通过地址总线确定要写入信息的位置(确定/OE引脚没有被激活)。2)通过数据总线将要写入的数据传输到Dout引脚。3)激活/CS引脚选择SRAM芯片。4)激活/WE引脚通知SRAM知道要尽心写入操作。

  经过上面的四个步骤之后,需要写入的数据就已经放在了需要写入的地方。

DRAM芯片介绍

 

  现在我们知道了在一个简单的SRAM芯片中进行读写操作的步骤了了,然后我们来了解一下普通的DRAM芯片的工作情况。DRAM相对于SRAM来说更加复杂,因为在DRAM存储数据的过程中需要对于存储的信息不停的刷新,这也是它们之间最大的不同。下面让我们看看DRAM芯片的针脚的作用。

  最早、最简单也是最重要的一款DRAM芯片是Intel在1979年发布的2188,这款芯片是16Kx1 DRAM 18线DIP封装。“16K x 1”的部分意思告诉我们这款芯片可以存储16384个bit数据,在同一个时期可以同时进行1bit的读取或者写入操作。(很抱歉找不到这款芯片的实物图片,只好自己简单的画了一个示意图)。

 


菜鸟成长手册:详解内存工作原理及发展历程(4)

 

  上面的示意图可以看出,DRAM和SRAM之间有着明显的不同。首先你会看到地址引脚从14根变为7根,那么这颗16K DRAM是如何完成同16K SRAM一样的工作的呢?答案很简单,DRAM通过DRAM接口把地址一分为二,然后利用两个连续的时钟周期传输地址数据。这样就达到了使用一半的针脚实现同SGRAM同样的功能的目的,这种技术被称为多路技术(multiplexing)。

  那么为什么好减少地址引脚呢?这样做有什么好处呢?前面我们曾经介绍过,存储1bit的数据SRAM需要4-6个晶体管但是DRAM仅仅需要1个晶体管,那么这样同样容量的SRAM的体积比DRAM大至少4倍。这样就意味着你没有足够空间安放同样数量的引脚(因为针脚并没有因此减少4倍)。当然为了安装同样数量的针脚,也可以把芯片的体积加大,但是这样就提高芯片的生产成本和功耗,所以减少针脚数目也是必要的,对于现在的大容量DRAM芯片,多路寻址技术已经是必不可少的了。

  当然多路寻址技术也使得读写的过程更加复杂了,这样在设计的时候不仅仅DRAM芯片更加复杂了,DRAM接口也要更加复杂,在我们介绍DRAM读写过程之前,请大家看一张DRAM芯片内部结构示意图:

 

菜鸟成长手册:详解内存工作原理及发展历程(4)

 

  在上面的示意图中,你可以看到在DRAM结构中相对于SRAM多了两个部分:由/RAS (Row Address
Strobe:行地址脉冲选通器)引脚控制的行地址门闩线路(Row Address Latch)和由/CAS(Column Address Strobe:列地址脉冲选通器)引脚控制的列地址门闩线路(Column Address Latch)。DRAM读取过程:1)通过地址总线将行地址传输到地址引脚。2)/RAS引脚被激活,这样行地址被传送到行地址门闩线路中。3)行地址解码器根据接收到的数据选择相应的行。

 

菜鸟成长手册:详解内存工作原理及发展历程(4)

 

  4)/WE引脚被确定不被激活,所以DRAM知道它不会进行写入操作。5)列地址通过地址总线传输到地址引脚。6)/CAS引脚被激活,这样列地址被传送到行地址门闩线路中。7)/CAS引脚同样还具有/OE引脚的功能,所以这个时候Dout引脚知道需要向外输出数据。

 

菜鸟成长手册:详解内存工作原理及发展历程(4)

 

  8)/RAS和/CAS都不被激活,这样就可以进行下一个周期的数据操作了。其实DRAM的写入的过程和读取过程是基本一样的,所以如果你真的理解了上面的过程就能知道写入过程了,所以这里我就不赘述了。(只要把第4步改为/WE引脚被激活就可以了)。

RAM基础知识

 

  我们已经提到过,DRAM同SRAM最大的不同就是不能比较长久的保持数据,这项特性使得这种存储介质对于我们几乎没有任何的作用。但是DRAM设计师利用刷新的技术使得DRAM称为了现在对于我们最有用处的存储介质。这里我仅仅简要的提及一下DRAM的刷新技术,因为在后面介绍FP、EDO等类型的内存的时候,你会发现它们具体的实现过程都是不同的。

  DRAM内仅仅能保持其内存储的电荷非常短暂的时间,所以它需要在其内的电荷消失之前就进行刷新直到下次写入数据或者计算机断电才停止。每次读写操作都能刷新DRAM内的电荷,所以DRAM就被设计为有规律的读取DRAM内的内容。这样做有下面几个好处。第一,仅仅使用/RAS激活每一行就可以达到全部刷新的目的;第二,DRAM控制器来控制刷新,这样可以防止刷新操作干扰有规律的读写操作。在文章的开始,我曾经说过一般行的数目比列的数据少。现在我可以告诉为什么会这样了,因为行越少用户刷新的时间就会越少。

  RAM模块基础:

  在前面的一节文章中我们对于DRAM和SRAM的基本工作原理做了一些简单的介绍,在我们所列举的例子中都是介绍了最基本的存储单元的工作模式,所以应该不难理解,看到很多朋友对于这个方面的东西很感兴趣,今天我就继续介绍关于RAM(Random Access Memory)的部分知识。理解这个部分知识,是更好的了解以后我们介绍各种RAM的实际工作情况的基础。

  在SRAM或者DRAM的每一个基本存储单位(也就是上一节中介绍用来存储1bit信息的存储单位)都只能存储0或者1这样的数据,而且在上一节中IDT6167和Intel 2188芯片都仅仅只有Din(数据输入)和Dout(数据输出接口),而CPU存取数据的时候是按照字节(也就是8bit)来存储的,那么RAM究竟如何满足CPU的这样的要求呢?

  首先为了能存储1字节(8 bit)的信息,就需要8个1bit RAM基本存储单元堆叠在一起,这也意味着这8颗芯片被赋予了同样的地址。下面的示意图可以帮助你比较形象的了解这一点(下图所示的图例中仅仅画了4个存储单元,大家当成8个来看就可以了)。

 


菜鸟成长手册:详解内存工作原理及发展历程(5)

 

  通常这8颗1bit芯片是通过地址总线和数据总线在PCB(印刷电路板)上连接而成的,对于CPU来说它就是一颗8bit的RAM芯片,而不再是独立的8个1 bit芯片。在上图所示的地址总线位宽是22bit,这样这个地址总线所能控制的存储模块的容量应该是222=4194304bit,也就是4MB的容量;数据总线的位宽是8bit,就是通过刚才提到的8个1bit的基本存储单元的Dout并联在一起实现的--这样也能够满足CPU的要求了。(对于这种存储颗粒我们称之为4194304 x 8模块或者4Mx8,注意这里的“M”不是“MByte”而是“Mbit”)。为了举例说明,我们用一条TI(德仪公司)出品的TM4100GAD8 SIMM内存为例来说明,因为这种内存的构造相对比较简单,便于大家理解。TM4100GAD8基于4M x 8模块制造,容量4MB,采用30线SIMM封装。如果前面我说的东西你看明白了,就应该知道这条内存采用了4Mx1 DRAM颗粒。下面的数据是我在TI官方网站上找到的(目前很少有公司的网站还提供自己以前产品的数据):构造:4194304 × 8。工作电压:5-V。30线SIMM(Single In-Line Memory Module:SIMM)。采用8片4Mbit DRAM内存颗粒,塑料SOJs封装。长刷新期16 ms(1024周期)。

 

菜鸟成长手册:详解内存工作原理及发展历程(5)

 


  在上面的示意图中,A0–A10是地址输入引脚。/CAS:行地址脉冲选通器引脚。DQ1–DQ8:数据输入/数据输出引脚。NC:空信号引脚。/RAS:列地址脉冲选通器引脚。VSS:接地引脚。/W:写入启用引脚。VCC 5V供电引脚。

 

菜鸟成长手册:详解内存工作原理及发展历程(5)

 

  上面的电路示意图应该能够让我们更加清楚的理解这个问题,TM4100GAD8由8片4096x1bit芯片组成,VCC和VSS为所有的芯片提供5v的电压。每个芯片都具有/RAS、/CAS、/W引脚同内存相应的引脚连通。每个芯片都具有不同的数据输出/输出接口。这样我们应该就能够知道RAM是如何满足CPU存取数据的需要的了




关键词: SDRAM     工作     原理     之一     连载     存储     所以         

助工
2010-12-08 19:02:21     打赏
2楼
好,学习下……

菜鸟
2010-12-09 09:32:50     打赏
3楼

关于Bank的问题

 

  前面我们讲述的都是8bit的内存,现在这种东西我们基本上都接触不到了,更常用的是32bit、64bit或者128bit。由于前面我们已经讲到了4Mx1bit模块实现bit输入输出的方法,所以我们很容易想到我们把足够多的芯片放在一个模块中就可以了。不过在实际应用中,仅仅这样做还是不行的,这里就需要引入bank的概念,bank是由多个模块组成的。请看下面的示意图:

 


菜鸟成长手册:详解内存工作原理及发展历程(6)

 

  上面的示意图显示的是由4组8bit模块组成的一个bank,如果构成模块的是4194304 x 1芯片,那么每个模块的架构应该是4194304x8(4MB),这样4个模块就能组成一个位宽为32bit的bank,容量为16MB。当存储数据的时候,第一模块存储字节1,第二个模块存储字节2,第三个模块存储字节3,第四个模块存储字节4,第五个模块存储字节5……如此循环知道达到内存所能达到的最高容量。

  文章读到这里,我们应该能知道,当我们的系统使用这种类型的内存时,可以通过两种方式来增加这种类型内存的容量。第一种就是通过增加每一个独立模块的容量来增加bank的容量,另外一个方法就是增加bank的数目。这样如果让这种类型的内存的容量提升到32MB,可以把每个模块的容量从4MB提升到8MB或者增加bank的数目。

  前面我们用来举例的这种30线的SIMM一般是用在486级别的电脑上的,而现在的Pentium级别的电脑所使用的内存同这个是不同的。而截止到现在,我的这篇文章还没有涉及到我们目前所使用的内存,不过不要着急,相信充分的理解我现在所谈论的东西将有助于你理解以后的内容。不过这里可以先告诉大家的是Pentium级别的内存和486系统的内存之间的主要差异在于它们的RAM芯片。

SIMM和DIMM

 

  前面我们既然提到了30线的DIMM,那么我们就来介绍一下SIMM以及与之相对应的DIMM。其实SIMM和DIMM都是内存条的封装形式的一种(这里说的不是芯片的封装形式),因为每片内存颗粒无法直接同计算机进行连接并且通讯的,并且它们单颗颗粒的容量有限而且涉及到前面提及的数据传输位宽等方面的原因,所以内存厂商需要通过一定的形式把它们组织到一起,这样就产生了不同的内存封装形式。

  首先我们来介绍一下SIMM,如下图(上面一条是30线DIMM内存,下面一条是72线DIMM内存):

 


菜鸟成长手册:详解内存工作原理及发展历程(7)

 

  在DIMM内存中的颗粒采用了DIP(Dual Inline Package:双列直插封装)封装,如上图中黑色的芯片。早期的内存颗粒是直接焊接在主板上面的,这样如果一片内存出现故障,那么整个主板都要报废了。后来在主板上出现了内存颗粒插槽,这样就可以更换内存颗粒了,但是热膨胀的缘故,每使用一段时间你就需要打开机箱把内存颗粒按回插槽。

  除了这些原因,更重要的是我们前面提到的数据总线位宽等方面的原因使得工程师着手设计了SIMM(Single Inline Memory Module)封装和DIMM(Double Inline Memory
Module)的内存,它们通过主板上的内存插槽同主板进行通讯。这样的设计解决了原来所有的问题。SIMM内存根据引脚分为30线和72线,目前我们都很少用到了。

 

菜鸟成长手册:详解内存工作原理及发展历程(7)

 

 

  SIMM Diagram:

  SIMM根据内存颗粒分布可以分为单面内存和双面内存,一般的容量为1、4、16MB的SIMM内存都是单面的,更大的容量的SIMM内存是双面的。在我们本文中所列举的TM4100GAD8就是一款30线的内存,它每次仅能传输8bit的数据--从前面的示意图中我们也知道这30线引脚中有11线是地址引线,8线是数据引线,还有其它的控制引线,对于当时的封装工艺这已经是比较不错了。比较细心的读者会问为什么还有三条空信号引脚?因为这种内存的数据输出总线位宽只有8bit,所以即使将空信号引脚转换为地址总线提高寻址范围,但是并没有足够多的引脚用于数据的输出。72线的SIMM内存的容量不但可以更大,而且数据总线的位宽也得到了极大的提高。一条72线SIMM内存的数据总线位宽是32bit,它的数据输出能力大大提高了。

 

菜鸟成长手册:详解内存工作原理及发展历程(7)

 

  DIMM是目前我们使用的内存的主要封装形式,比如SDRAM、DDR SDRAM、RDRAM,其中SDRAM具有168线引脚并且提供了64bit数据寻址能力。DIMM的工作电压一般是3.3v或者5v,并且分为unbuffered和buffered两种。上图上面的内存就是168线的SDRAM,而下面的内存是72线的SIMM。需要指出的是在SIMM和DIMM内存之间不仅仅是引脚数目的不同,另外在电气特性、封装特点上都有明显的差别,特别是它们的芯片之间的差别相当的大。因为按照原来内存制造方法,制造这种内存的时候是不需要把64个芯片组装在一起构成一个64bit的模块的,得益于今年来生产工艺的提高和改进,现在的高密度DRAM芯片可以具有不止一个Din和Dout信号引脚,并且可以根据不同的需要在DRAM芯片上制造4、8、16、32或者64条数据引脚。
如果一个DRAM芯片具有8个数据引脚,那么这个基本储存单元一次就可以输出8bit的数据,而不像是在原来的TM4100GAD8 SIMM芯片中每次仅仅能输出1bit数据了。这样的话,如果我们需要制造一个同TM4100GAD8一样容量的内存,那么我们可以不使用前面所使用的4M x 1bit芯片,而是采用1M x
8bit芯片,这样仅仅需要4片芯片就可以得到一个容量为4MB,位宽为32bit的模组。芯片数目减少最直接的好处当然是可以减少功耗了,当然也简化了生产过程。

  下面的图只是为了说明这个问题而制作的,它展示的是一种72线的4MB SIMM内存,采用了4片1Mx8bit DRAM芯片。但是至于是不是真的有这样的一款产品我也不能确定,因为目前为之我找不到实际的产品相关资料,所以这个只是为了帮助大家理解这个问题,不要对于是否有这样的产品而斤斤计较。

 

 

菜鸟成长手册:详解内存工作原理及发展历程(7)

 

  这样一来,只要4片采用具有8bit位宽的内存颗粒就可以达到同样的容量,当然这样的内存条工作原理在理解的过程中比原来略微复杂一点。我们看到在上面的4Mbit×8bit芯片中,依然还是有10条地址总线引脚,但是/CAS和/RAS引脚却从原来的1条增加到4条。当然数据输入输出引脚线数目是32条。
其实TI公司的TM124BBJ32F和TM248CBJ32F前面的我所列举的例子是比较相似的:

 

 

菜鸟成长手册:详解内存工作原理及发展历程(7)

 

  这两款内存的容量均为4MB,位宽为32bit,当然也属于DRAM了。TM124BBJ32F内存为单面而TM248CBJ32F双面的两种模式,不过其中单面TM124BBJ32F有些奇怪,在它的内存条上只有两颗内存芯片,这样每颗内存芯片应该是2MBx16bit。另外,双面的TM248CBJ32F由4片1Mx8bit
DRAM芯片组成。

 

菜鸟成长手册:详解内存工作原理及发展历程(7)

 

  上面的示意图和表格是TM124BBJ32F和TM248CBJ32F的示意图和表格,我们可以很容易的理解它们的工作模式。

  对于TM124BBJ32F来说:因为是2MBx16bit的颗粒,所以当RAS0引脚为低电平时,DQ0-DQ15输出/输入引脚有效,所以它可以同时传送一个16bit数据;让RAS1引脚为低电平时,DQ16-DQ31输出/输入引脚有效,也可以一次传送一个16bit数据。

  对于TM248CBJ32F来说:因为是1MBx8bit的颗粒,所以情况同前面是不同的,当RAS0引脚为低电平时,DQ0-DQ7输出/输入引脚有效,所以它可以同时传送一个8bit数据;让RAS1引脚为低电平时,DQ6-DQ15输出/输入引脚有效,也可以一次传送一个8bit数据;让RAS2引脚为低电平时,DQ16-DQ23输出/输入引脚有效,也可以一次传送一个8bit数据;让RAS3引脚为低电平时,DQ24-DQ31输出/输入引脚有效,也可以一次传送一个8bit数据(注意这里虽然都是控制输出8bit或者16bit地址,但是它们之间分别代表的含义是不同的)。当然在确定地址的时候,还是需要CAS控制电路配合的。

FPM DRAM介绍

 

  FPM DRAM(Fast Page Mode DRAM):

  FPM DRAM也就是我们常说的快页内存。之所以称之为快页内存,因为它以4字节突发模式传送数据,这4个字节来自同一列或者说同一页。如何理解这种读取方式呢?FPM DRAM如果要突发4个字节的数据,它依然需要依次的读取每一个字节的数据,比如它要读取第一个字节的数据,这个时候的情况同前面介绍的DRAM读取方式是一样的(我们依然通过读取下面的FPM读取时序图来了解它的工作方式):

  1、首先行地址被传送到行地址引脚,在/RAS引脚被激活之前,RAS处于预充电状态,CAS也处于预充电状态,当然/WE此时依然是高电平,FPM至少知道自己不会进行写操作。

  2、/RAS引脚被赋予低电平而被激活,行地址被送到行地址选通器,然后选择正确的行送到传感放大器,就在/RAS引脚被激活的同时,tRAC开始计时。

  3、CAS一直处于预充电状态,直到列地址被传送到列地址引脚并且/CAS引脚得到一个低电平而被激活(tCRC时间开始计时),然后下面的事情我们也应该很清楚了,列地址被送到列地址选通器,然后需要读取的数据位置被锁定,这个时候Dout引脚被激活,第一组数据就被传送到数据总线上。

  4、对于原来介绍的DRAM,这个时候一个读取周期就结束了,不过对于FPM则不同,在传送第一组数据期间,CAS失活(RAS依然保持着激活状态)并且进入预充电状态,等待第二组列地址被传送到列地址引脚,然后进行第二组数据的传输,如此周而复始直至4组数据全部找到并且传输完毕。

  5、当第四组数据开始传送的时候,RAS和CAS相继失活进入到预充电状态,这样FPM的一个完整的读取周期方告结束。FPM之所以能够实现这样的传输模式,就是因为所需要读取的4个字节的行地址是相同的但是列地址不同,所以它们不必为了得到一个相同的列地址而去做重复的工作。

  6、这样的工作模式显然相对于普通的DRAM模式节省了很多的时间,特别是节省了3次RAS预充电的时间和3个tRAC时间,从而进一步提高的效率。

 


菜鸟成长手册:详解内存工作原理及发展历程(8)

 

  我想你一定看到过诸如6-3-3-3这样的内存标注方法,其中的6表示从最初状态读取第一组数据需要6个时钟周期,而读取另外三个数据仅仅需要3个时钟周期就能达到目的了。需要特别指出的是,在上面的时序图中,我们并没有标注出 FPM DRAM进行第二个、第三个、第四个数据输出的前进行新的列地址选通的时间,但是从上面的示意图中我们可以看到Col.2同Data1和D2之间都没有重叠,所以这三个数据的输出是进行完毕一个再进行的另一个,因此再上一次数据传输完毕到下一次列地址传输之间还有一点小小的延迟。

 

菜鸟成长手册:详解内存工作原理及发展历程(8)

EDO DRAM( Extended Data Out DRAM:扩展数据输出DRAM):


菜鸟
2010-12-10 10:05:50     打赏
4楼
RAM,SRAM,SDRAM工作原理 之三 

在介绍FPM的读取过程的最后我着重提到了 FPM DRAM是在上一次的数据读取完毕才会进行下一个数据的读取,但是对于EDO DRAM却是完全不一样的。EDO DRAM可以在输出数据的同时进行下一个列地址选通,我们依然结合下面的EDO读取时序图来了解EDO DRAM读取数据的过程:

 


菜鸟成长手册:详解内存工作原理及发展历程(9)

 

  1、RAS在结束上一次的读取操作之后,进入预充电状态,当接到读取数据的请求之后,行地址首先通过地址总线传输到地址引脚,在这个期间CAS依然处于预充电状态。

  2、/RAS引脚被激活,列地址开始经过行地址选通电路和行地址解码器进行行地址的选择,就在这个同时tRAC周期开始,因为是读取操作/WE引脚一直没有被激活,所以内存知道自己进行的是读取操作而不是写操作。

  3、在CAS依然进行预充电的过程中,列地址被送到列地址选通电路选择出来合适的地址,当/CAS被激活的同时tCAC周期开始,当tCAC结束的时候,需要读取的数据将会通过数据引脚传输到数据总线。

  4、从开始输出第一组数据的时候,我们就可以体会到EDO同FPM之间的区别了:在tCAC周期结束之前,CAS失活并且开始了预充电,第二组列地址传输和选通也随即开始,第一数据还没有输出完毕之前,下一组数据的tCAC周期就开始了--显然这样进一步的节省了时间。就在第二组数据输出前,CAS再次失活为第三组数据传输列地址做起了准备……

  5、如此的设计使得EDO内存的性能比起FPM的性能提高了大约20-40%。

  6、正是因为EDO的速度比FPM快,所以它可以运行在更高的总线频率上。所以很多的EDO RAM可以运行在66MHz的频率上,并且一般标注为5-2-2-2。

SDRAM介绍

 

  主要谈论我们大家都能接触到的SDRAM内存了,首先得承认SDRAM同我们之前介绍的异步DRAM是差别非常大的。它的基本原理同前面提到的DRAM还是基本一样的(比如基本存储单元都是按照阵列排列,都有RAS和CAS的概念),不过这些存储单元的组织和控制同DRAM就具有相当大的差别了。在前面我们讨论其它类型的内存都是采用了以具体的产品为例来讲述的,所以这里我们依然采用这种形式,这次我们以
MICRON MT48LC4M4A1 16MB SDRAM为例。

  如果你还记得我们在前面介绍的DRAM相关内容,那么应该还记得DRAM是以bank来组织存储单元的。因为每个内存bank的位宽是同数据总线阿位宽一样的。所以对于SIMM,你必须把多个SIMM放在一个bank之中来满足32bit或者64bit数据总线的要求。DIMM具有更多阿引脚,所以单个DIMM可以提供足够的同数据总线相适应的位宽--这样每个bank只要一个DIMM就可以了。而且SDRAM更进一步的是可以在单个的DIMM中存在多个bank,这样不但能够满足数据总线的需要还能进一步的提高总线的性能。下面就让我来解释一下:
在我们前面讨论的DRAM读取方式中,当一个读取周期结束后,/RAS和/CAS都必须停止激活然后有一个短暂的预充电期才能进入到下一次的读取周期中。但是一个具有两个bank的SDRAM的模组中,其中一个bank在进行预充电的期间另一个bank却可以被调用--这样当你需要读取已经预充电的bank的数据时,就无需等待而是可以直接调用了。为了实现这个功能,SDRAM就需要增加对于多个bank的管理,这样就可以实现控制其中的bank进行预充电,并且在需要使用的时候随时调用了。这样一个具有两个bank的SDRAM一般会多一根叫做BA0的引脚,实现在两个bank之间的选择--一般的BA0是低电平表示Bank0被选择,而BA0是高电平Bank1就会被选中。

  可见,虽然SDRAM在基本的原理上比如基本存储的结构都是基本一样的,但是在整个内存架构的组织上是不同的,而且在存储单元的控制上也是有着相当大的区别的。因为异步DRAM同处理器和芯片的时钟并没有什么关系,所以芯片组只能按照DRAM内存的时序要求“被动”的操作DRAM控制引脚。SDRAM因为要同CPU和芯片组共享时钟,所以芯片组可以主动的在每个时钟的上升沿发给引脚控制命令。

 


菜鸟成长手册:详解内存工作原理及发展历程(10)

 

  上图显示的就是MT48LC4M4A1 16MB SDRAM内存颗粒的引脚示意图,它采用了50引脚的TSOP封装,符合PC100规范。这种内存颗粒将同系统时钟同步运行。这种内存颗粒的架构1Mx16-512Kx16x2,每bank行地址数目是11,列地址数目是8。我们首先来介绍一下这种内存颗粒的引脚定义:A0-A10:地址输入引脚,当ACTIVE命令和READ/WRITE命令时,来决定使用某个bank内的某个基本存储单元。CLK:时钟信号输入引脚
CKE:Clock Enable,高电平时有效。当这个引脚处于低电平期间,提供给所有bank预充电和刷新的操作
/CS:芯片选择(Chip Select),SDRAM DIMM一般都是多存储芯片架构,这个引脚就是用于选择进行存取操作的芯片。/RAS:行地址选择(Row Address Select)。/CAS:列地址选择(Column Address Select)
/WE:写入信号(Write Enable)。DQ0-DQ15:数据输入输出接口。BA:Bank地址输入信号引脚,BA信号决定了由激活哪一个bank、进行读写或者预充电操作;BA也用于定义Mode寄存器中的相关数据。NC:空引脚。DQM: 这个引脚的主要用于屏蔽输入/输出,功能相当于/OE引脚( Output Enable)。VDDQ:DQ供电引脚,可以提高抗干扰强度。VSSQ:DQ供电接地引脚。VSS:内存芯片供电接地引脚。VDD:内存芯片供电引脚,提供+3.3V ±0.3V(上面的列表项目和示意图中,前面标有“/”或者“#”标记的表示在低电平下有效)。

  下面的表格在不同的状态下(或者说不同命令下)的各个引脚的信号。“H”代表高电平,“L”代表低电平,“X”代表可以是任何状态,也就是该引脚同该命令并没有直接的关系。功能/CS /RAS /CAS /WE DQM ADDR。COMMAND INHIBIT (NOP) H X X X X X 。NO OPERATION (NOP) L H H H X X。ACTIVE (选择bank并且激活相应的行) L L H H X Bank/Row 。READ (选择bank和列地址,并且开始突发读取) L H L H X Bank/Col 。WRITE (选择 bank和列地址,并且开始突发写入) L H L L X Bank/Col。BURST TERMINATE(停止当前的突发状态) L H H L X X 。PRECHARGE (让相应的bank中的行失活或者让该bank失活) L L H L X Code 。AUTO REFRESH(进入自动刷新模式) L L L H X X 。LOAD MODE REGISTER L L L L X Op-code。写入启用/输出启用 L。写入禁止/输出禁止 H。

 

菜鸟成长手册:详解内存工作原理及发展历程(10)

 

  如果你对于我们前面介绍的内容有了真的有所了解了,看到上面的芯片引脚示意图和各个针脚的功能示意图就基本对于SDRAM的工作工程有了一个基本的了解了,在下面的章节里我们就对于这个过程进行详细的介绍,首先我们对于一些基本的概念做一些了解。

  这条SDRAM颗粒采用了双bank(每bank 512K x 16 DRAM)的工作电压是3.3V,并且采用同步接口方式(所有的信号都是时钟信号的上升沿触发)。每一个512K x 16-bitbank由2,048行乘以256列个基本存储单元构成,输出数据位宽是16 bit。Read和write操作都是通过突发导向模式访问SDRAM的;这种访问模式以访问指定的区域开始的,然后按照预先设定的方式定位其它的数据的所在。每次访问都是以ACTIVE命令启动的,然后仅仅跟着一个READ或者WRITE命令。不过在进行所有这些操作之前,SDRAM必须首先进行初始化。初始化SDRAM在上电之后,必须首先按照预定的方式进行初始化才能正常的运行。一旦VDD和VDDQ被同时供电并且时钟稳定下来,SDRAM就需要一个100微秒的延迟,在这个时间段中COMMAND INHIBIT和NOP指令有效,这个过程实际上就是内存的自检过程,一旦这个过程通过之后一个PRECHARGE命令就会紧紧随着最后一个COMMAND INHIBIT或者NOP指令而生效,这个期间所有的内存都处于空闲(idle)状态,随后会执行两个AUTOREFRESH周期、当AUTOREFRESH周期完毕之后,SDRAM为进行Mode Register编程做好了准备。因为Mode Register上电会引起一个为止的状态,它会在进行所有正常指令之前被载入。至此,初始化过程完成。

MODE REGISTER介绍

 

  Mode Register一般被用于定义SDRAM运行的模式。其中包括了突发长度(burst length)、突发类型(burst type)、CAS延迟(CAS latency)、运行方式(operating mode)和写入突发模式(如Figure 1所示)。Mode Register通过LOAD MODE REGISTER命令进行编程,这组信息将会一直保存在Mode Register中直到内存掉电之后才会消失。Mode Register中的M0-M2是用来定义突发长度(burst length)的,M3定义突发类型(sequential或者interleaved),M4-M6定义CAS延迟,M7和M8定义运行模式,M9定义写入突发模式(write burst mode),M10和 M11目前保留。Mode Register必须在所有的bank都处于idle状态下才能被载入,在所有初始化工组都进行完毕之前,控制器必须等待一定的时间。在初始化过程中发生了任何非法的操作都可能导致初始化失败从而导致整个计算机系统不能启动。


菜鸟成长手册:详解内存工作原理及发展历程(11)

 

  突发长度(Burst Length):

  Read和write操作都是通过突发模式访问SDRAM的,当然突发模式的长度都是在初始化过程中载入Mode
Register中载入的参数,这些参数当然是由厂商或者用户定义的。在Figure 1中我们看到突发长度决定了READ或者WRITE命令能够访问的列地址的最大数目。对于sequential和interleaved这两种突发模式它们的突发长度是1、2、4、8,另外全页(full-page)突发模式仅仅适用于sequential类型。全页突发可以用BURST TERMINATE命令连接来产生任意的突发长度。保留状态(Reserved states)主要用于应付未来的不兼容的情况而准备的。当一个READ或者WRITE命令被发出之后,这个时候突发长度就被选定了。所有的访问操作都会以这个突发长度为限进行读取操作。当突发长度设为2时,A1-A7将会作为数据输入输出的列地址线;当突发长度设定为4时,A2-A7将会作为数据输入输出的列地址线;当突发长度被设定为8时,A3-A7将会作为数据输入输出的列地址线。

  突发类型(Burst Type):
突发类型主要分为两种:sequential和interleaved——主要由M3所决定。访问顺序主要由突发长度、突发类型和起始列地址所决定,如下表:

 

菜鸟成长手册:详解内存工作原理及发展历程(11)

 

  禁止指令(COMMAND INHIBIT)和空指令(NOP):

  这两条指令是CS信号的两个不同的状态。前面我们提到 /CS信号可以赋予芯片两种状态:参与工作和休息。 /CS处于未激活状态(也就是禁止指令生效的时候),SDRAM此时不对于任何传送到这个引脚上的指令作出反应; /CS引脚处于激活状态的情况下才会对于传送到引脚上的指令作出反应。空指令(NOP)这个指令将激活 /CS,但是它仅仅告诉芯片什么说不作——但是为什么要有这样的看似多余的指令呢?在后面的CAS延迟时间中我们将会涉及到。ACTIVATE、READ和 WRITE如果要了解基本的 READ和WRITE操作,那么你就应该需要了解这三条指令。ACTIVATE指令的主要就是选择一个bank并且激活相应的行;
READ指令就是读取指定的行的数据;WRITE指令就是在指定的bank和列中写入数据。

 

1) 行地址通过地址总线传输到地址引脚(Address Bus行),当在第一个时钟周期的上升沿,通过ACTIVATE指令通过。/CS激活了相应的行地址--当然确定指定的行之前BA0引脚会选择相应的bank。

2)/WE引脚在这个过程中一直不会被激活,所以S DRAM知道它们不是进行写操作。

3) 第三个时钟周期的开始,ACTVATE指令激活了/CAS并且得到指定的列地址。

4) 第五个到第十个时钟周期的上升沿都会有数据输出到数据总线。

  我们看到SDRAM基本的读取过程非常的简单。今天就先谈到这里,在以后的文章中我们将会对于SDRAM相关的问题继续进行讨论。

DRAM的读取过程

 

  我们还没有谈到我们都关心的一些问题,比如CAS-2和CAS-3之间的区别什么的。现在我们对于DRAM的基础知识已经有了一个基本的了解,下面的文章就是给大家介绍一些现代的内存技术。DRAM读取过程其实我们在以前的文章中已经讨论过DRAM的读写过程了,不过对于内存比较了解的朋友都会发现在前面的介绍中仅仅是对于内存的大致读取过程进行了简述,很多重要的细节都没有详细的讨论。所以我们在文章的这一节的内容中对于这个过程进行详细的讨论。下面就是异步内存的读取过程的步骤,因为异步DRAM的运行并不需要同处理器同频,它的时序信号控制、寻址等操作基本上说是独立控制的,也就是由内存芯片本身所控制,所以在讨论起来比较简单,我们仅仅需要考虑DRAM本身的情况就可以了(这个系列的文章也是本着循序渐进的原则让大家更好的理解内存的工作原理的):1) 行地址通过地址总线传输到地址引脚。2)/RAS引脚被激活,列地址就会被放入行地址选通电路( Row Address Latch:在文章的前面部分我们把它翻译为列地址门闩电路)。3) 行地址解码器( Row Address Decoder)选择正确的行然后送到传感放大器( sense amps)。4) /WE引脚此时不被激活,所以 DRAM知道它们不是进行写操作。5) 列地址通过地址总线传输到地址引脚。6) /CAS引脚被激活,列地址就可以被送到列地址选通器( Column Address Latch)。7) /CAS引脚也被当作输出启动信号( Output Enable),因为一旦/CAS信号被放到传感放大器,就因为这时需要的数据已经找到,所以Dout针脚开始有效,数据可以从内存中传输到系统了。8)/RAS和 /CAS引脚停止激活,等待下一个读取命令。在内存的读取过程中,需要我们考虑的有两个主要类型的延迟。第一类的是连续的DRAM读操作之间的延迟。内存不可能在进行完一个读取操作之后就立刻进行第两个读取操作,因为DRAM的读取操作包括电容器的充电和放电另外还包括把信号传送出去的时间,所以在两个读取操作中间至少留出足够的时间让让内存进行这些方面的操作。在连续的两次读取操作之间,第一种类型的延迟包括 /RAS和 /CAS预充电延迟时间。在/RAS被激活并且失活之后,你必须给它足够的时间为下次激活做好准备。下图可以帮助你更好了解这个过程。

 


菜鸟成长手册:详解内存工作原理及发展历程(12)

 

  /CAS预充电的过程失一样的,你只要把上图种的“RAS”换成“CAS”就可以了。从前面我们介绍的DRAM读取过程的8个步骤中,我们可以了解到 /RAS和/CAS预充电过程是依次进行的,所以我们在一定的时间里只能进行有限次数的读取操作。特别是在第8个步骤中,当一次读取操作周期结束之后,我们必须让
/RAS和/CAS引脚都失活。实际上,在你让它们失活之后,必须等待预充电过程结束之后才能开始下一个操作(或者还是读取操作、或者是写入操作、或者是刷新操作)。

  当然在两次读取操作之间的预充电时间不是限制DRAM速度的唯一因素。第二种延迟类型是叫做内部读取延迟(inside-the-read)。这种延迟同同两次读取操作之间的延迟非常的相似,但是不是由停止 /RAS和/CAS激活而产生的,而是由于要激活/RAS和/CAS而产生的。比如,行存取时间(tRAC)--它就是在你激活RAS和数据最终出现在数据总线之间的时间。同样的列存取时间 (tCAC)就是激活/CAS引脚和数据最终出现在数据总线上之间的时间。下面的示意图可以帮助你更好的理解这两种类型的延迟:

菜鸟成长手册:详解内存工作原理及发展历程(12)

 

  现在让我们花一点时间结合前面介绍的读取过程来研究一下上面的这张示意图:1) 首先看上图第一行,在预充电期间行地址通过地址总线传输到地址引脚,这个期间RAS未被激活,在第三行Address BUS中我们看到数据在这个期间正在行地址总线上,这个期间CAS也处于预充电状态;2) 依然看上图第一行, /RAS引脚被激活(RAS Active,灰色的部分),列地址就会被放入行地址选通电路(第三行Address
Bus中所示),这个期间CAS依然处于预充电状态;在/RAS被激活的同时,tRAC(行存取时间)开始--如上图最后一行Data Bus所示。3) 在/RAS被激活以后,行地址解码器( Row Address Decoder)选择正确的行然后送到传感放大器( sense amps)。4) 在这个期间/WE引脚一直处于不激活的状态,所以 DRAM知道它们不是进行写操作--这个状态将一直持续到开始执行写操作才结束。5) 列地址通过地址总线传输到地址引脚。6) /CAS引脚被激活(如上图第三行),列地址就可以被送到列地址选通器( Column Address Latch)。这个时候tCAC(列地址访问时间)开始计时。7) 在/CAS处于激活状态期间的末尾,/RAS停止激活--也就大约在这个时间附近找到的数据被传送到数据总线进行数据传送(如图data
Bus),在数据总线进行数据传输的过程中,地址总线是处于空闲状态的,它并不接受新的数据--在数据开始创送的同时tRAC和tCAC都结束了。8)就在数据在数据总线上传输期间,/CAS引脚也被停止激活--就是得到一个高电平,从而开始进入到预充电期。RAS和CAS会同时处于预充电期,直到下次/RAS被激活进入到下一个读取操作的周期。相信经过这样的说明大家应该了解DRAM的读取过程了。 在这个基础上我们就可以开始认识SIMM或者DIMM的潜伏期(latency)问题了。首先我们来继续澄清一下几个概念。DRAM潜伏期类型分为两种:访问时间(access time)和周期时间(cycle time)。其中访问时间(access time)同前面我们谈论的第二种类型的延迟有关,也就是同读取周期中的延迟时间;而周期时间(cycle
time)同我们前面谈论的第一种类型的延迟有关,也就是受到两个读取周期之间的延迟时间影响。当然潜伏期的时间很短,都是用纳秒来衡量的。

  对于异步 DRAM芯片,访问时间就是从行地址到达行地址引脚的时间起截至到数据被传输到数据引脚的时间段。这样,访问时间为60纳秒的DIMM意味着当我们下达读取数据的命令后,地址数据被送到地址引脚之后要等待60纳米才能达到数据输出引脚。周期时间,从字面上理解就是从两个连续读取操作之间的时间间隔。如何尽可能的减小DRAM的周期时间和访问时间是我们这篇文章后半部分将要详细的讨论的问题。

    我们平时说到DRAM内存是多少多少纳秒,这里指的一般是访问时间(我们也会对于为什么采取这样的标称方法进行解释)。我们都知道访问时间越短,意味着内存工作频率会越高。当然内存工作频率越高,意味着可以适应外频更高的处理器。如果处理器的时钟周期较短,而DRAM的潜伏期较长,处理器在很多时间里都是等到DRAM传送数据。因此当DRAM一定时,比如时潜伏期为70纳秒,那么一颗1GHz PIII等待数据的时间将会比一颗 400MHz PII处理器长。当然出现这样的现象是每个用户都不愿意看到的,当的使用的内存速度越慢或者说你的处理器相对越快,你的处理器就会由更多的性能都被这样的等待浪费了。


菜鸟
2010-12-13 09:43:04     打赏
5楼
DRAM, SRAM, SDRAM的关系与区别 

DRAM,动态随机存取存储器,需要不断的刷新,才能保存数据.而且是行列地址复用的,许多都有页模式。
ARM

SRAM,静态的随机存取存储器,加电情况下,不需要刷新,数据不会丢失,而且,一般不是行列地址复用的。 ARM开发论坛

SDRAM,同步的DRAM,即数据的读写需要时钟来同步。 DRAM和SDRAM由于实现工艺问题,容量较SRAM大。但是读写速度不如SRAM,但是现在,SDRAM的速度也已经很快了,时钟似乎已经有 150兆的了。那么就是读写周期小于10ns了。

字串4

SRAM是静态内存,SDRAM是同步动态内存
每单位容量的DRAM使用较少的晶体管而且占用面积小,而SRAM则是用较多晶体管占用的面也要相对大不少;DRAM需要不断刷新来维持所存储的数据,SRAM则不需要;DRAM的存取时钟间隔长,而SRAM的速度快,时间短;DRAM的耗电低,SRAM耗电大。
目前,相同容量的SRAM价格是SDRAM的8倍左右,面积则将近大4倍,所以SRAM常用于快速存储的较低容量的RAM需求,比如Cache(缓存),比如CPU内部的L1 Cache和主板上的L2 Cache,一般只有几百K。
布线上可以同样遵守高速设计的需要,具体可参考厂家设计规范要求。

 

 

 

DRAM,动态随机存取存储器,需要不断的刷新,才能保存数据。
而且是行列地址复用的,许多都有页模式。

SRAM,静态的随机存取存储器,加电情况下,不需要刷新,数据

不会丢失,而且,一般不是行列地址复用的。

SDRAM,同步的DRAM,即数据的读写需要时钟来同步。

DRAM和SDRAM由于实现工艺问题,容量较SRAM大。但是读写速度不如SRAM,但是现在,SDRAM的速度也已经很快了,时钟好像已经有150兆的了。那么就是读写周期小于10ns了。SDRAM虽然工作频率高,但是实际吞吐率要打折扣。以PC133为例,它的时钟周期是7.5ns,当CAS latency=2 时,它需要12个周期完成8个突发读操作,10个周期完成8个突发写操作。不过,如果以交替方式访问Bank,SDRAM可以在每个周期完成一个读写操作(当然除去刷新操作)。其实现在的主流高速存储器是SSRAM(同步SRAM)和SDRAM(同步DRAM)。目前可以方便买到的SSRAM最大容量是8Mb/片,最大工作速度是166MHz;可以方便买到的SDRAM最大容量是128Mb/片,最大工作速度是133MHz。

 

SRAM是Static Random Access Memory的缩写,中文含义为静态随机访问存储器,它是一种类型的半导体存储器。“静态”是指只要不掉电,存储在SRAM中的数据就不会丢失。这一点与动态RAM(DRAM)不同,DRAM需要进行周期性的刷新操作。 然后,我们不应将SRAM与只读存储器(ROM)和Flash Memory相混淆,因为SRAM是一种易失性存储器,它只有在电源保持连续供应的情况下才能够保持数据。“随机访问”是指存储器的内容可以以任何顺序访问,而不管前一次访问的是哪一个位置。

       SRAM中的每一位均存储在四个晶体管当中,这四个晶体管组成了两个交叉耦合反向器。这个存储单元具有两个稳定状态,通常表示为0和1。另外还需要两个访问晶体管用于控制读或写操作过程中存储单元的访问。因此,一个存储位通常需要六个MOSFET。对称的电路结构使得SRAM的访问速度要快于DRAM。SRAM比DRAM访问速度快的另外一个原因是SRAM可以一次接收所有的地址位,而DRAM则使用行地址和列地址复用的结构。

       SRAM不应该与SDRAM相混淆,SDRAM代表的是同步DRAM(Synchronous DRAM),这与SRAM是完全不同的。SRAM也不应该与PSRAM相混淆,PSRAM是一种伪装成SRAM的DRAM。

       从晶体管的类型分,SRAM可以分为双极性与CMOS两种。从功能上分,SRAM可以分为异步SRAM和同步SRAM(SSRAM)。异步SRAM的访问独立于时钟,数据输入和输出都由地址的变化控制。同步SRAM的所有访问都在时钟的上升/下降沿启动。地址、数据输入和其它控制信号均于时钟信号相关。

DRAM:动态随机存取存储器,需要不断的刷新,才能保存数据。而且是行列地址复用的,许多都有页模式

SRAM:静态的随机存取存储器,加电情况下,不需要刷新,数据不会丢失,而且,一般不是行列地址复用

的。

SDRAM:同步的DRAM,即数据的读写需要时钟来同步。

    主要是存储单元结构不同导致了容量的不同。一个DRAM存储单元大约需要一个晶体管和一个电容(不

包括行读出放大器等),而一个SRAM存储单元大约需要六个晶体管。DRAM和SDRAM由于实现工艺问题,容量

较SRAM大,但是读写速度不如SRAM。

    一个是静态的,一个是动态的,静态的是用的双稳态触发器来保存信息,而动态的是用电子,要不时

的刷新来保持。
    内存(即随机存贮器RAM)可分为静态随机存储器SRAM,和动态随机存储器DRAM两种。我们经常说的“

内存”是指DRAM。而SRAM大家却接触的很少。
    SRAM其实是一种非常重要的存储器,它的用途广泛。SRAM的速度非常快,在快速读取和刷新时能够保

持数据完整性。SRAM内部采用的是双稳态电路的形式来存储数据。所以SRAM的电路结构非常复杂。制造相

同容量的SRAM比DRAM的成本高的多。正因为如此,才使其发展受到了限制。因此目前SRAM基本上只用于CPU

内部的一级缓存以及内置的二级缓存。仅有少量的网络服务器以及路由器上能够使用SRAM。


菜鸟
2010-12-17 16:19:36     打赏
6楼
讲得很清楚了

菜鸟
2013-12-25 14:28:18     打赏
7楼
讲的真好  赞!!!

菜鸟
2014-06-30 21:15:59     打赏
8楼
很不错,学习了。

菜鸟
2015-05-28 13:44:53     打赏
9楼
真棒。。。。。

共9条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]