这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 企业专区 » Xilinx » 多通道的xilinx fir ip core 的设计问题

共3条 1/1 1 跳转至

多通道的xilinx fir ip core 的设计问题

菜鸟
2011-09-02 11:14:02     打赏
各位大侠们,小弟一事不明。特来请教。
还望各位知无不言。
我在弄个fir  compiler  的ip core   。我在看它的datasheet,上面有个多通道。
我不明白这个所谓的“多通道”设计,是设计那种滤波器组时用的,还是设计多个滤波器时用的。
还是两个设计,都能用啊?

另外,我用的fir compiler 是ise 12.1版本的5.0版。



关键词: 通道     xilinx     设计     问题    

专家
2011-09-02 14:40:01     打赏
2楼
这个就请:Jason_Zhang    来回答一下了!!

菜鸟
2011-09-02 18:23:29     打赏
3楼
么哈么哈,我仿真出来了,是我说的第三种状况,就是既能当滤波器组,又能当许多滤波器用。
呵呵,
ps,那个filte_sel很狗血,竟然是【0:0】的那种,跟他的计算方法有关系·········

共3条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]