这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 企业专区 » Xilinx » 作为一个学生,哎,没办法,来申请板子吧

共8条 1/1 1 跳转至

作为一个学生,哎,没办法,来申请板子吧

菜鸟
2011-12-28 17:10:37     打赏
    要说做过东西不多,参加过两次全国电子大学生电子大赛,第一次2010年暑期,“通用可变增益放大器”获得黑龙江省电子设计大赛二等奖;2011年暑期大赛,“LC-谐振放大器”获得省一等奖;其他就没什么了,能算得上的就是一些简单FPGA实验、波形发生器等等。

    本人现在大四学生,就读哈尔滨工程大学,如今保研。研究生想继续从事通信学习,转战FPGA,得知xilinx引领通信开发前沿,想整块板子。国内卖的真少,而且价钱望而却步啊。今天搜了下论坛,刚进就发现有活动,如今来报到了,大侠们费心看下吧,觉得合适就给留个机会,先谢啦。



关键词: 作为     一个     学生     办法     申请     板子    

菜鸟
2011-12-28 17:12:33     打赏
2楼

申请帖子没过期吧?来的有点晚……


助工
2011-12-28 19:09:59     打赏
3楼
2010年有全国赛??

专家
2011-12-28 19:11:54     打赏
4楼
还没到FPGA的申请时间呢!!!等着啊

菜鸟
2011-12-29 21:19:25     打赏
5楼

那个国家电子大赛,正式全国赛2年一次,但每年都有,国赛省赛隔年举行,实际一回事。2010年是省赛,同时也是全国各省进行,也有外省的高校入赛我校。

如今从事毕设,开始FPGA学习,Vorilog HDL书籍看了不少,夏宇闻、罗杰、吴继华、还有几本Xilinx的,推荐新手看下“FPGA应用于技术/刘睿强主编”,有拓展FPGA行业视野,从新认识数字领域的作用;现在做实际课题----通信调制,看史国治、姜柏宇的书。写的挺好,看起来有点难度。但是自己写代码,问题就多了。特别是导师用Xinlix的FPGA,V-4,V-6,学生天价,买不起啊,去借了好几次,都说保密检查,拿不出来,换句话说就是没借到呗,哎......惆怅哪....


高工
2011-12-30 15:25:16     打赏
6楼
这年头有钱人还真多,FPGA入门学习全用V系列了

高工
2011-12-30 15:26:21     打赏
7楼
哥当年可是没板子在MODELSIM上跑了半个多月,差距啊

菜鸟
2012-01-03 19:26:34     打赏
8楼
好好抓住机会.

共8条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]