这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 高校专区 » 周师电子设计创新社区 » EDA 初学二十问

共10条 1/1 1 跳转至

EDA 初学二十问

菜鸟
2015-01-15 12:17:42     打赏
EDA知识要点 
1、 EDA的英文全称是什么?请简述EDA技术,并列举常见的EDA


工具。 
EDA即Electronic Design Automation的缩写,直译为:电子设计自


动化。 
EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系


统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实


验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子


系统到硬件系统(的逻辑编译,逻辑化简,逻辑分割,逻辑综合及优化,逻辑布局


布线,逻辑仿真,直至对于特定目标芯片的适配编译,逻辑映射,编程下载等操作,


)最终形成集成电子系统或专用集成芯片的一门技术.电子设计自动化(EDA)方


法一般采用自顶向下(TOP-DOWN)的设计方法,也叫正向设计,它是针对传统的


自底向上(Bottom-up)的设计方法而提出来的。 
常见的EDA工具有:设计输入


编辑器,HDL综合器、仿真器、适配器(或布局布线器)、下载器。如:


Active-HDL、FPGA-Express、Xilinx的ISE、Altera的MAX+plusⅡ和QuartusⅡ、


Cadence、Synplicity的Synplify Pro等。 2、 简述EDA技术经历了哪几个发


展阶段。 
EDA技术:计算机辅助设计CAD、计算机辅助工程CAE、电子系统设计


自动化ESDA. 3、 可编程器件(PLD)分为哪两类。 
可编程逻辑器件分为:


简单可编程逻辑器件SPLD、复杂可编程逻辑器件CPLD、现场可编程门阵列FPGA、


在系统可编程ISP逻辑器件。 4、 什么是VHDL?简述VHDL的发展史。 
VHDL是


美国国防部为电子项目设计承包商提供的,签定合同使用的,电子系统硬件描述


语言。1983年成立VHDL语言开发组,1987年推广实施,1993年扩充改版。VHDL是


IEEE标准语言,广泛用于数字集成电路逻辑设计。 
5、 简述可编程ASIC与一


般ASIC在设计、应用、成本等方面的优缺点。 
可编程ASIC的设计其设计资金投


入小、风险小、开发周期短、调试灵活、易学易用;而一般ASIC设计(也称为


ASIC设计)的设计资金投入大、流片费用都是昂贵、研发投片制作具有一定的失


败风险、且其开发周期较长、调试改动设计都比较困难。 
不过,产品进入大批


量生产后,ASIC成品的成本往往远低于可编程器件成本。 6、 目前国际上较


大的PLD器件制造公司有那几家公司。 
三大公司:Altera、Xilinx、Lattice。


 
7、 目前较流行的集成EDA开发环境(软件)有那些? 
Altera公司的


QuartusⅡ和maxplusⅡ、Xilinx公司的ISE、Lattice公司的


ispLEVER Adbanced System。 
8、 目前流行的HDL语言有那些? 
ABEL-HDL


、AHDL、VHDL。 9、 什么是ASIC。 
ASIC


(Application Specific Intergrated Circuits)即专用集成电路,按照设


计方法不同可分为:全定制ASIC、半定制ASIC、可编程ASIC。 10、 FPGA和


CPLD的区别? 
FPGA和CPLD的区别为(1)从实现逻辑的电路来看,CPLD的内部由


与或阵列组成,FPGA的内部由查找表构成(2)制造器件所用工艺不同,CPLD属


EEPROM工艺,FPGA属SRAM工艺(3)从使用方法来看,FPGA易失需要配置芯片,


CPLD非易失,不怕掉电,不需要专门配置的芯片(4)从保密性角度,CPLD保密


性好,FPGA保密性不好。  




   
  




11、 VHDL的两大类基本描述语句是什么。


 
VHDL的基本描述语句有并行语句(Concurrent Statements)和顺序语句


(Sequential Statements)两大类。 12、 Top-Down设计方法中逻辑综合的作


用是什么? 
逻辑综合主要是通过综合工具,依据设计人员设定的时序、面积等


约束条件,将与工艺无关的RTL级的电路逻辑描述程序,转化为与工艺相关的电


路,是将程序设计转为硬件实现的重要环节。 13、 MAX+PLUSⅡ平台上,原理


图、仿真波形文件、VHDL文件的扩展名是什么? 
原理图:.gdf、仿真:.scf、


vhdl:.vhd。 14、 结构体常见的功能语句有哪些? 
进程语句、信号赋值语


句、块语句、元件例化语句、子程序调用语句。 15、 子程序分为哪两类,其


结构为什么? 
子程序包括过程和函数,包括子程序首和子程序体。 16、 什


么是库,程序包,子程序,过程调用,函数调用? 
库(libraries)和程序包


(package)用来描述和保存元件、类型说明、函数、模块等,以便在其他设计中


可随时引用它们。 
库(libraries)是用来存储和放置可编译的设计单元的地方


,通过其目录可查询、调用。设计库中的设计单元(实体说明、结构体、配置说


明、程序包说明和程序包体)可以用作其他VHDL描述的资源。 
函数和过程统称


为子程序。 
子程序由过程和函数组成。在子程序调用过程中,过程能返回多个


变量,函数能返回一个变量。若子程序调用是一个过程,就称为过程调用;若子


程序调用是一个函数,则称为函数调用。过程调用和函数调用都是子程序调用。


 
函数的参数都是输入参数。过程的参数有输入、输出和双向参数。 函数有顺


序函数、并行函数。过程有顺序过程、并行过程。 17、 VHDL中常见的库有哪


些? 
库的种类:IEEE库(标准程序包:STD_LOGIC_1164、NUMERIC_BIT、


NUMERIC_STD、STD_LOGIC_ARITH、STD_LOGIC_SIGNAD、STD_LOGIC_UNSIGNED)、


STD库(标准程序包:STANDARD、TEXTIO)、WORK库、VITAL库、用户定义


库。 18、 简述VHDL设计实体的结构 
实体由实体名、类型表、端口表、实体


说明部分和实体语句部分组成。根据IEEE标准,实体组织的一般格式为: 
ENTITY  实体名 IS 
   [GENERIC(类型表);]     --可选项 
  [PORT(端口表);]        --必需项 
 实体说明部


分;         --可选项  [BEGIN 
   实体语句部


分;] END [ENTITY] [实体名]; 19、 VHDL的标识符由什么构成。 
标识


符是VHDL语言中各种成分的名称,包括常量、变量、信号、端口、子程序、参数


。 20、 什么是数据类型?VHDL中的数据类型可以分为哪几大类?为什么说


VHDL语言是
强数据类型的描述语言? 






  




数据类型是用标识符表征的某个或某些


个数值的集合。 
 数据类型按照定义方式分可分为标准预定义数据类型和用户


自定义数据类型两大类(按照书上的标量型、复合类型、存取类型、文件类型分


亦可)。 
 在VHDL中若某个对象被声明为某种数据类型,则其取值必需在该数


据类型所限定的取值范围之内,且只有相同数据类型的数据对象之间才可以做赋


值或运算,不同数据类型的数据必需通过数据类型转换函数转换一致后,才可运


算,故说VHDL语言是强数据类型的描述语言。

院士
2015-01-15 15:32:27     打赏
2楼
能排下版多好

菜鸟
2015-01-16 16:02:55     打赏
3楼
发此贴意欲何为?一头雾水。如果是科普那你就发错地方了

菜鸟
2015-01-16 16:03:13     打赏
4楼
发此贴意欲何为?一头雾水。如果是科普那你就发错地方了

菜鸟
2015-03-26 18:37:50     打赏
5楼

老师 ,我们还上课吗?我是光电班的


专家
2015-04-09 20:11:04     打赏
6楼
内容很充实,要是还需要细致一点。

专家
2015-10-15 11:27:21     打赏
7楼
呵呵

菜鸟
2016-02-26 20:16:09     打赏
8楼
学习了

工程师
2023-08-06 16:28:44     打赏
9楼

感谢分享


高工
2023-08-12 05:52:42     打赏
10楼

EDA需要大量练习


共10条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]