这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » 谁能提供一个就Timequest对一个时序违规设计的分析、时序约束更改设计并最终

共1条 1/1 1 跳转至

谁能提供一个就Timequest对一个时序违规设计的分析、时序约束更改设计并最终达到时序要求的资料

菜鸟
2010-04-22 15:22:33     打赏
这个地方闹了好久!弄懂了一些基本概念!但是写个数码管时钟(计数分频)!时序一分析slack都-4点及ns了!汗!实在不懂怎的弄哦!求求前辈们有空能提供一个这样的资料(网上找的有的也是概念分析)!
                             谢谢!!!



关键词: 谁能     提供     一个     Timequest     时序     违规         

共1条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]