这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » 《FPGA/CPLD应用设计200例》---精通这些例程,你就是专家了

共2条 1/1 1 跳转至

《FPGA/CPLD应用设计200例》---精通这些例程,你就是专家了

高工
2013-08-11 21:35:19     打赏

《FPGA/CPLD应用设计200例》分上、下两册。上册主要介绍FPGA/CPLD可编程控制器在网络通信、仪器仪表、工业控制、遥感遥测、汽车工业、航天军工及家用电器等领域的典型应用设计实例;下册主要介绍产品设计开发技巧、方法与秘诀,常用设计、开发工具及软件特性,常用芯片的结构特点等内容。《FPGA/CPLD应用设计200例》共计典型应用设计实例287个。

上册

第1篇 FPGA/CPLD典型应用设计实例

1.1 FFT(快速傅里叶变换)的FPGA设计与实现

1.2 数字式存储示波器

1.3 汽车尾灯控制电路设计

1.4 数字钟电路设计

1.5 数字调制(FSK)信号发生器

1.6 电子数字闹钟

1.7 函数发生器设计

1.8 伪随机序列发生器

1.9 多功能点阵牌电路设计

1.10 光通信PDH的标准伪随机图案发生器设汁

1.11 数字秒表

1.12 电子密码锁

1.13 数字电压表

1.14 自动交通控制系统

1.15 交通信号灯控制器

1.16 交通控制灯逻辑电路系统设计

1.17 十字路****通管理信号灯系统设计

1.18 交通灯控制程序设计

1.19 交通灯电路设计

1.20 无线通信中的全数字调制器设计

1.21 无线通信中的全数字解调器设计

1.22 采用VHDL语言设计的数字频率计

1.23 数字显示频率计

1.24 简易数字频率计设计

1.25 4位数字频率计

1.26 采用Verilog HDL语言设计的频率计

1.27 简易频率计电路设计

1.28 简易频率计设计

1.29 电子数字钟

1.30 采用Verilog HDL语言设计的电子数字钟

1.31 采用VHDL语言设计的电子数字钟

1.32 电子时钟电路设计

1.33 计时器

1.34 波形发生器电路设计

1.35 LED数码管动态显示设计

1.36 流水灯电路设计

1.37 直流步进电机控制电路设计

1.38 ADC电压测量电路设计

1.39 简易电子钟设计

1.40 数字抢答器

1.41 序列检测器

1.42 UART通用异步串行口设计

1.43 简易周期信号测试仪

1.44 序列信号发生器

1.45 通信、雷达和遥测用序列检测器的设计

1.46 数字密码锁

1.47 伪随机序列信号发生器设计

1.48 FIFO存储器的VHDL描述

1.49 采用Verilog HDL语言设计的UART通用异步收发器.

1.50 倍频电路

1.51 双向数据转换器

1.52 键盘电路

1.53 数码LED显示器

1.54 多位加法器电路

1.55 6位数码管动态扫描及译码电路

1.56 非2的幂次分频电路

1.57 非整数分频电路

1.58 常用电路的VHDL描述

1.59 同步一百进制计数器的设计

1.60 门电路设计

1.61 时序电路设计

1.62 组合逻辑电路设计

1.63 频率合成技术——基于FPGA的直接数字合成器(DDS)设计

1.64 串行通信MAX232接口电路设计

1.65 2的幂次分频电路

1.66 环形计数器与扭环形计数器

1.67 8位可逆计数器和三角波发生器

1.68 并/串转换器

1.69 4选1数据选择器

1.70 4位二进制数/8421BCD码

1.71 移位寄存器设计

1.72 三进制计数器设计

1.73 移位型控制器的设计与实现

1.74 存储器接口电路设计

1.75 4位加法器设计

1.76 乘法器设计

1.77 译码器设计

1.78 可变模计数器设计

1.79 整数增益放大器设计与测试

1.80 滤波器的设计与测试

1.81 比较器的设计与测试

1.82 带阻有源滤波器设计

1.83 线性反馈移位寄存器LFSR的FPGA设计与实现

1.84 线性分析、循环码编码译码器的FPGA设计与实现

1.85 数据传输与I/O接口标准

1.86 异步收发器

1.87 有限脉冲响应(FIR)数字滤波器的FPGA设计与实现

1.88 逐次逼近型ADC

1.89 乘法器的FPGA设计与实现

1.90 总线仲裁电路的设计

1.91 ALU(算术逻辑部件)设计

1.92 脉冲分配器设计

1.93 二进制码/格雷码的转换

1.94 直接序列扩频通信系统设计

1.95 并/串转换模块设计

1.96 移位相加模块设计

1.97 时延环节模块设计

1.98 多波形发生器设计

1.99 三位乘法器设计

1.100 小信号测量系统

1.101 单片电路设计

1.102 简易数字锁

1.103 交通灯控制器

1.104 闪烁灯和流水灯设计与仿真

1.105 3DES算法的FPGA实现及其在3DES-PCI安全卡中的应用

1.106 边界扫描测试

1.107 交通信号灯

1.108 交通灯监视电路设计

1.109 汉字显示

1.110 汉字显示电路设计

1.111 洗衣机控制电路设计

1.112 篮球30s可控计时器设计

1.113 悦耳的音响设计

1.114 乐曲演奏电路设计

1.115 多音阶电子琴电路设计

1.116 《友谊地久天长》乐曲演奏电路设计

1.117 软件无线电内插滤波器设计

1.118 量程自动转换的数字式频率计

1.119 游戏电路设计

1.120 全自动电梯控制电路

1.121 8位二进制乘法电路

1.122 自动售邮票机

参考文献

下册

第2篇 FPGA/CPLD产品设计、开发技巧与秘诀

2.1 如何根据项目选择器件

2.2 可编程器件的选择原则

2.3 确定初步方案的方法与技巧

2.4 基于可编程逻辑器件的数字系统的设计流程

2.5 掌握常用FPGA/CPLD

2.6 EDA技术的基本设计方法

2.7 数字系统设计中的低功耗设计方法

2.8 动态可编程重构技术

2.9 多级逻辑的设计技巧

2.10 Verilog HDL设计方法与技巧

2.11 FPGA设计的稳定性探讨

2.12 同步电路设计技巧

2.13 图形设计法的实用技术

2.14 状态机设计技巧

2.15 存储器的VHDL实现方法与技巧

2.16 存储器设计典型实例

2.17 只读存储器

2.18 比较器

2.19 多路选择器

2.20 三态总线

2.21 m序列的产生和性质

2.22 对具体某一信号的连续存储

2.23 典型的时序逻辑电路分析与描述

2.24 用Verilog HDL的时序逻辑电路设计

2.25 时序逻辑电路的设计方法与技巧

2.26 FPGA/CPLD的设计和优化

2.27 CPLD典型器件ispPAC20的扩展应用技巧

2.28 CPLD典型器件ispPAC的基本应用技巧

2.29 Verilog HDL设计组合逻辑电路技巧

2.30 VHDL设计组合逻辑电路技巧

2.31 LED七段译码器的分析与设计

2.32 电路的仿真技巧

2.33 宏器件及其调用

2.34 ispPAC的增益调整方法

2.35 数字系统的描述方法

2.36 FPGA系统设计与调试技巧

2.37 典型的下载/配置方式

2.38 Xilinx器件的下载

2.39 ByteBlaster并口下载电缆

2.40 单个FLEX系列器件的PS配置(下载电缆连接与下载操作)

2.41 多个FLEX器件的PS配置(下载电路连接与下载操作)

2.42 单个MAX器件的JTAG方式编程(POF文件连接与编程)

2.43 单个FLEX器件的JTAG方式配置(SOF文件连接与编程)

2.44 多个MAX/FLEX器件的JTAG方式编程/配置(连接与编程)

2.45 主动串行与被动串行配置模式

2.46 门禁系统设计技巧

2.47 两种实际应用的计数器电路设计

2.48 常用触发器及其应用设计技巧

2.49 加法器设计

2.50 ispPAC的接口电路设计

2.51 编程接口和编程——ISP方式和JTAG方式

2.52 利用Verilog HDL设计状态机的技巧

2.53 系统级层次式设计

2.54 边界扫描测试技术

2.55 在系统下载电缆与评估板

2.56 用CPLD和单片机设计电子系统

2.57 怎样优化程序

2.58 怎样才能避免潜在的危险

2.59 毛刺的产生及其消除技巧

2.60 计数器设计与FPGA资源

2.61 组合逻辑电路的竞争冒险及其消除技巧

2.62 选择器设计和FPGA资源

2.63 基于FPGA/CPLD应用设计的23点经验总结

第3篇 FPGA/CPLD常用工具及软件特性

3.1 常用的FPGA开发工具

3.2 常用EDA设计工具

3.3 FPGA/CPLD数字逻辑实验平台

3.4 软件资源

3.5 典型常用的Verilog HDL语言(应用设计举例)

3.6 Verilog HDL的一般结构

3.7 19种常用电路的Verilog HDL描述

3.8 典型常用的VHDL语言(应用设计举例)

3.9 10种常用电路的VHDL描述

第4篇 FPGA/CPLD常用芯片结构及特点

4.1 FPGA和CPLD的结构性能对照

4.2 FPGA/CPLD的基本结构和原理

4.3 Xilinx系列CPLD

4.4 Altera系列CPLD

4.5 现场可编程系统芯片FPSC

4.6 无限可重构可编程门阵列ispXPGA

4.7 ispXPLD器件

4.8 在系统可编程通用数字开关ispGDS和互连器件ispGDX/V

4.9 在系统可编程模拟器件的原理

4.10 各种在系统可编程模拟器件的结构

4.11 ispLSI系列器件的性能参数

4.12 ispLSI系列器件的主要技术特性

4.13 ispLSI系列器件的编程方法

4.14 成熟器件与新型器件

4.15 FPGA/CPLD器件的编程

附录1 现场可编程逻辑器件主流产品一览

附录2 各种器件的下载电路(在系统可编程ispJTAGTM芯片设计指导)

附录3 Lattice系统宏(器件库)

附录4 国内外常用二进制逻辑元件图形符号对照表

附录5 世界著名的FPGA厂商及商标符号

附录6 实验开发板电路原理图

附录7 常用FPGA的端口资源

附录8 两种CPLD实验仪器面板图及电路图

附录9 CPLD主要器件引脚图

附录10 缩略语词汇表

参考文献

——回复可见内容——




关键词: FPGA/CPLD应用设计200例     精通     这些         

高工
2013-08-12 17:35:56     打赏
2楼

真心传不上去,而且这个文档有190M,得分20个压缩文件

等服务器稳定了,一定补。


共2条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]