这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 企业专区 » Cypress » 自行对照PSoC® Creator™3.0和PSoC® Creator™2.0的

共1条 1/1 1 跳转至

自行对照PSoC® Creator™3.0和PSoC® Creator™2.0的区别

助工
2013-10-15 09:25:08     打赏

PSoC® Creator™3.0版发行说明

 

2013923 - 1 -

PSoC ®造物主发行说明

3.0

PSoC Creator3.0是一个重大的升级,从以前的版本中。它增加了以下功能:

更新GCC ARM编译器具有较小的代码足迹

更好的代码开发经验

更容易设计/配置

支持新的第三方集成开发环境

更新PSoC Creator中框架

改进易于使用/学习

还要注意的是,此次发布的PSoC 5器件不再支持,并已取代

PSoC5LP设备。 PSoC5到的PSoC 5LP映射。

这家生产质量释放不会取代现有装置PSoC Creator中(如2.22.1 ;

他们一起安装。这使您能够将设计到新版本在自己的节奏。我们

保证在新的软件,可以打开现有的设计,但请升级

组件的最新版本。为了确保您可以随时返回到以前的设置,备份

您的项目时会自动创建一个新版本的工具打开一个项目。它被存储在

项目的文件夹中的一个文件夹,命名为“备份” 。

如果您有技术问题,请访问www.cypress.com / /支持或致电1-800-541-4736并选择8

内容

新特点2

更新编译器具有较小的代码足迹............................................ ........................................... 2

更好的代码开发经验3

设计/配置更容易4

扩展支持第三方工具和标准......................................... ................................. 5

PSoC Creator中框架的更新............................................ .................................................. .. 5

改进的易于使用/学习7

组件8

新组件8

更新的组件8

名家设计的影响9

cy_boot组件9

PSoC 5中的PSoC 5LP映射9

新的RAM使用情况计算10

支持的设备11

支持的工具链11

用于PSoC 3的工具链( 8051 11

工具链的PSoC 4PSoC 5LP ARM ........................................ ............................................... 11

PSoC ®造物主发行说明

3.0

2013923 - 2 -

安装12

最低和推荐系统要求............................................. ............................... 12

软件更新说明14

开源14

安装注意事项14

进一步阅读15

修复的缺陷16

引导加载16

构建系统16

调试/编程16

骨架17

系统17

新特点

更新编译器具有较小的代码足迹

更小的代码尺寸

PSoC Creator中包括Newlibnano 3.0集成了GCC ARM嵌入式4.7版编译

库标准。这些工具被高度优化,以降低所生成的代码的大小在ARM Mclass

处理器一样的PSoCPSoC 5LP

CMSIS V3.20核心外设库

这个版本会更新的CMSIS核心库附带PSoC Creator中,默认情况下,包括在ARM

项目。

先前运的CMSIS CPL版本为1.30 ,这并没有严格地支持CMSIS - SVD

加入的功能,在PSoC Creator 2.2的发布。虽然没有功能上的影响,这是一个

异常,需要加以解决。

注意更新至3.20陈旧一些在以前被运或支持的编译器

PSoC Creator中的版本。那些老的编译器不再支持。

PSoC ®造物主发行说明

3.0

2013923 - 3 -

更好的代码开发经验

更新后的代码编辑器

代码编辑器已经更新到支持常见的功能,如自动完成,大纲,

缩进和参考。

查看源代码示例搜索

查找示例项目“对话框中已经更新,显示的示例项目的main.c文件。这

提供快速访问的C源代码,而无需创建一个新的项目。您还可以查看

项目的文档。

PSoC ®造物主发行说明

3.0

2013923 - 4 -

设计/配置更容易

UDB编辑

此发行版提供的UDB编辑,这是一个友好的用户界面的通用数字模块( UDB中)

以帮助创建的数据通路和PLD的独特功能。

UDB是一个非常强大的设计,但它不是简单的程序。现有的数据通路配置

工具是一个专家工具(仍然支持) ,数据通路的功能,并要求成竹在胸

寄存器。 UDB编辑更容易使用,这将有助于增加你的能力,利用UDB

资源。

原理评论

原理评论功能允许您指定设计的部分被排除在

建立。具体来说,可以禁用(并重新启用)一个或多个页面中的原理图。这可以让你

删除部分用于测试和调试,以及提供不同的配置。访问

禁用/启用功能的右键菜单页面上的标签和原理的白色空间。

兆赫ECO XTAL )设置

XTAL配置对话框已经更新,所以你可以手动输入一个AMPIADJ值。该

对话框还提供了可视反馈的实际值计算的参考值的水平和幅度

目前的调整。

PSoC ®造物主发行说明

3.0

2013923 - 5 -

扩展支持第三方工具和标准

IAR集成

此功能允许的PSoC5LPPSoC 4器件IAR的嵌入式固件开发

工作台( EW ARM EW - ARM ) 。这使您可以在PSoC Creator设计PSoC器件和

EW的软件应用程序。

新的Keil集成

现有的IDE导出功能已被取代,使用基于XML的一个新的实现

uVision中正在实施的项目导入功能。

Eclipse导出

此功能使固件开发的PSoC 5LPPSoC 4设备在Eclipse (朱诺SR2

开普勒版本) 。此功能类似于IARuVision中导出功能。它使固件

Eclipse的开发,与ARM GNU工具链(包括newlib纳米ARM优化C运行时

库)和调试通过套件含有Segger J-LINK调试探头。

PSoC Creator中为Eclipse导入功能

PSoC Creator中导入功能允许你添加文件,编辑代码,并建立在Eclipse中。然而,为了

启用调试的能力,你必须安装一个Eclipse插件。

注意: Eclipse和调试器插件,以支持ARMJ-LINK赛普拉斯产品。此版本

支持其使用,但你必须单独安装它们从PSoC Creator中。此外,在PSoC

造物主为Eclipse导入功能,必须从赛普拉斯网页下载。它不随

PSoC Creator中。请参阅出口设计到Eclipse IDE文档获取更多信息。该文件

导出过程完成时,将打开。这也是一个话题PSoC Creator帮助。

PSoC Creator中框架的更新

组件更新工具

组件更新工具已经简化。当有新版本的,它只会打开

组件。

PSoC ®造物主发行说明

3.0

2013923 - 6 -

EEPROM编辑

EEPROM的编辑器,可以让您设定PSoC Creator中的EEPROM数据,而无需任何代码

运行在PSoC应用。这个新功能适用于任何人谁愿意把一个图像在

EEPROM中。您可以设置EEPROM映像与多个个人编辑和编程工具

与选择的一部分。

组件目录

组件目录已经简化。其中的变化是搜索功能和组件

预览。使用搜索功能,现在只显示搜索条件匹配的,你的那些组件

类型。已更新的预览区域组件数据表提供明显的联系。

PSoC ®造物主发行说明

3.0

2013923 - 7 -

各种对话框(新项目,添加组件,等)

各种对话框工具已更新,以提供更好的视野的项目选择。之间的

更新对话框“新建项目”和“添加组件项目。

改进的易于使用/学习

默认工具链选项

更新“选项”对话框,在“项目管理”下的默认编译器提供一个选择

将适用于任何新的项目。

PSoC ®造物主发行说明

3.0

2013923 - 8 -

组件

本节包含有关本新闻稿中有关新的和更新的组件。请参阅

适用的组件数据表(可在PSoC Creator中分布在网络上)

额外的信息。

新组件

作为本新闻稿的一部分已加入以下新组件:

 7位递减计数器(共7个记录) - 该组件提供了一个资源节约型的7位的递减计数器

的硬件信号的计数值的可访问性。

抖动VDAC DVDAC )的 - 此组件具有可选择的9位和12位分辨率之间。

抖动是使用其相关的8VDAC8来提高分辨率。

扫描比较 - 此组件提供了一个硬件解决方案进行比较多达64

对模拟输入电压信号,只使用一个硬件比较。

该组件提供 WaveDAC8 - 一个简单而快速的解决方案,可以自动定期

波形生成。

更新的组件

已更新,以符合MISRA-以下组件:

 ADC_DelSig  ADC_SAR_SEQ  AMUX

 AMuxSeq  BootloadableBootloader

 CapSense_CSD  EZI2C 过滤器

 LIN  PRS  RTC

  StaticSegLCD  USBFS SPI_Slave

以下组件已更新,以解决轻微缺陷和改进请求:

 ADC_SAR  BoostConv  CapSense_CSD_P4

时钟 DFB  I2C LCD

 I2S  MDIO_Interface  PWM

 SegLCD  ShiftReg  SMBusSlave

 SW TX UART 修剪及保证金电压定序

PSoC ®造物主发行说明

3.0

2013923 - 9 -

名家设计的影响

cy_boot组件

GCC ARM编译器的开关,提高了代码和数据的优化,但必要变化

运在cy_boot组件的启动代码。因此所有先前版本的cy_boot

现在已经过时了在PSoCPSoC5LP设计的。当第一开口创建的项目在PSoC

造物主2.x中,你将被提示更新组件版本更新组件对话框。

PSoC 5中的PSoC 5LP映射

至于这个版本中,不再支持PSoC 5器件。他们已经取代了functionallyequivalent

PSoC5LP设备。

如果你打开一个项目,该项目的目标是过时的部分会出现下面的对话框。

该对话框表明适当的新的零件编号,然后按确定将更新项目

功能等价的移动设备。它会自动创建一个备份原始设计。按取消

将中止开盘的项目。

PSoC ®造物主发行说明

3.0

九月23 2013 - 10 -

需要注意的是,如果你想迁移到新的工具包,与PSoCPSoC 5赛普拉斯开发包的设计

5LP设备,新的目标的一部分号码应该是CY8C5868AXI LP035 。这是不同的部件

下面列出( CY8C58568AXI - LP032 035部分还支持CAN接口,这是因为

所需的开发工具包。在这种情况下,点击“选择另一种替代”启动设备

选择器“对话框,切换的LP035部分。

下表列出了所有过时的部分,其功能等同。

设备系列PSoC 5PSoC 5LP

CY8C52 = CY8C52LP CY8C5246AXI - 054 CY8C5266AXI LP033

CY8C5246LTI -029 CY8C5266LTI - LP029

CY8C5267AXI CY8C5247AXI -051 - LP051

CY8C5247LTI - 089 CY8C5267LTI - LP089

CY8C5268AXI CY8C5248AXI -047 - LP047

CY8C5248LTI - 030 CY8C5268LTI - LP030

CY8C53 = CY8C54LP CY8C5465AXI CY8C5365AXI -043 - LP043

CY8C5365LTI -104 CY8C5465LTI - LP104

CY8C5466AXI CY8C5366AXI - 001 - LP002

CY8C5366LTI - 053 CY8C5466LTI - LP085

CY8C5367AXI - 108 CY8C5467AXI LP108

CY8C5367LTI - 003 CY8C5467LTI - LP003

CY8C5468AXI CY8C5368AXI - 106 - LP106

CY8C5368LTI -026 CY8C5468LTI - LP026

CY8C54 = CY8C56LP CY8C5466AXI - 064 CY8C5666AXI LP004

CY8C5466LTI - 063 CY8C5666LTI - LP005

CY8C5667AXI CY8C5467AXI -011 - LP006

CY8C5467LTI - 007 CY8C5667LTI - LP008

CY8C5668AXI CY8C5468AXI -018 - LP010

CY8C5468LTI - 037 CY8C5668LTI - LP014

CY8C55 = CY8C58LP CY8C5566AXI - 061 CY8C5866AXI LP021

CY8C5566LTI -017 CY8C5866LTI - LP022

CY8C5867AXI CY8C5567AXI -019 - LP024

CY8C5567LTI - 079 CY8C5867LTI - LP028

CY8C5868AXI CY8C5568AXI -060 - LP032

CY8C5568LTI - 114 CY8C5868LTI - LP038

新的RAM使用情况计算

之前的3.0版本, GNU编译器使用的SRAM构建年底报告

工具链不正确地报告系统DWR文件堆和栈的设置。这一直是

纠正和PSoC Creator中现在可以正确地报告RAM的使用(请注意,实际RAM的使用

并没有改变,只是报告在“输出”窗口) 。您可以修改栈和堆大小

值系统DWR

PSoC ®造物主发行说明

3.0

2013923 - 11 -

支持的设备

此版本中提供的设计流程和工具支持以下的PSoC 3 PSoC4 CY8C3x

CY8C4x )和PSoC 5LP CY8C5x - LP )家庭设备。

PSoC 3 CY8C32 * CY8C34 * CY8C36 CY8C38 **

PSoC4 CY8C41 CY8C42 **

PSoC5LP CY8C52 * LP CY8C54 * LP CY8C56 * LP CY8C58 * LP

支持的工具链

用于PSoC 3的工具链( 8051

DP8051Keil 9.51

安装的Keil PK51专业的PSoC开发套件与PSoC Creator结合。它支持

优化级别从05 。如果您想使用编译器的优化级别5级以上,

应购买标准接触的Keil PK51产品。

在北美,中美,南美... sales.us @ keil.com

在欧洲,亚洲,非洲,澳洲... sales.intl @ keil.com

免费工具链,配备了一个30天的评估许可证。可以延长许可证,无成本,

注册产品在PSoC Creator中( >注册> KEIL ... ) 。需要注意的是扩展

许可证是一年,你将需要重新注册届满。

DP8051 KEIL通用

使用此选项可以选择单独安装版本的Keil工具。虽然任何版本

可以选择,唯一正式支持的版本是8.16 9.039.51

工具链的PSoC 4PSoC 5LP ARM

ARM GCC 4.7.3

GCC ARM嵌入式v 4.7.3工具使用PSoC Creator进行安装。它有没有使用限制

不需要许可证激活( GNU公共许可证的条款下分发) 。

ARM GCC通用

使用此选项可以选择单独安装版本的ARM GCC工具链。

ARM RVDS通用的

使用此选项可以选择单独安装的版本, ARM RealView开发

系统。正式支持的版本是4.0 (版本529 )和4.1 (版本791 ) 。

ARM MDK通用

使用此选项可以选择单独安装版本的ARM微控制器开发

套件。正式支持的版本是4.0 (版本524 )和4.1 (版本713 ) 。

PSoC ®造物主发行说明

3.0

2013923 - 12 -

安装

最低和推荐系统要求

以下是系统要求安装和使用PSoC Creator中。每个需求指定

您的系统必须满足或超过最低。

PSoC Creator中正确执行,在资源高度受限的系统。但是,性能

(启动时间,项目创建开放,建立时间,等等)可能会受到影响,当资源

稀少。影响最直接的性能指标构建时间。以下各节提供

资源稀缺的影响的例子。

注意:在初始启动时, PSoC Creator中构建和缓存组件的DLL文件,用于显示

元件参数编辑器。因此,该工具将慢慢启动后第一次安装或

Windows®的重新启动。这是不是说明一个问题或一个长期性能退化。

总结

硬件/操作系统要求最低

处理器1 GHz或更快的32位(x86)或英特尔

64/AMD64 64

 RAM 512 MB 1 GB首选)

可用硬盘空间2 GB

屏幕分辨率1024x768

 USB 2.0

必备软件最低版本

微软的Internet Explorer (不IE8测试版) 7

NET Framework 2.0 SP2开始

使用Adobe Reader (用于查看PDF文档) 9.2 **

安装Windows Installer 3.1

 PSoC编程3.19.1

*要安装和运行PSoC Creator中,你可能还需要安装额外的软件。 Cypress的安装程序会

指导您完成整个过程,如果尚未安装额外的程序。

对于Windows 7 ,要求的最低版本是9.2版的Adobe Reader 。您可以下载最新的

这里版本: http://get.adobe.com/reader/ 。如果您愿意,您也可以使用非Adobe PDF阅读器,但是,

赛普拉斯没有针对任何特定的非Adobe阅读器或版本的建议。

处理器

1 GHz或更快的32位(x86)或英特尔64/AMD64 64位处理器是必需的。

PSoC Creator中具有1 GHz以上CPU速度之间的关系,并建立一个可预见的时间。

CPU的速度加倍,例如,从1 GHz2 GHz1.5 GHz3 GHz的,几乎一半的建造时间。

在快速( 3 GHz)的PC ,简单的设计可以建立在一分钟左右。在低速甚至设计,填补

设备和产生复杂的路由解决方案将建立在不到5分钟。

PSoC ®造物主发行说明

3.0

2013923 - 13 -

操作系统

下面的Windows平台是必需的:

 Windows XP SP2SP3 32位支持)

 Windows Vista SP1SP2 32 - 位和64位支持)

Windows 7 32 - 位和64位支持)和SP1

 Windows 8的( 32 - 位和64位支持)

 Mac OS X中运行Windows XP 32SP3Parallels Desktop V7

 Mac OS XV7V8Parallels Desktop运行Windows 7 64SP1

记忆

最低512 MBRAM是必需的,但建议1 GB

注赛普拉斯PSoC Creator中释放上每广泛的性能测试。最低RAM

在这些测试中所用的配置是1 GB 。低于1 GB的系统性能无担保。

没有运行的其他应用程序,将确保最低系统配置工具启动

迅速,创建和打开项目,在几秒钟内,没有感觉迟钝和响应用户输入。

系统RAM有最直接的影响,在PSoC Creator中的生成时间。下面的图表显示了如何

RAM不足(即低于512 MB )导致过度增加的建造时间,甚至为“空”

设计。

0

100

200

300

400

500

600

700

256 512 768 1024

复杂的设计

简单设计

该图显示,低于阈值,内存分页性能严重退化

必需的,但额外的内存高于这一水平不会产生一个显着的改善。

可用磁盘空间

PSoC Creator中需要2 GB的可用磁盘空间。

PSoC Creator中安装和运行只需1 GB的可用磁盘空间。然而,为了让Windows

做内存分页,我们还需要至少尽可能多的可用磁盘空间,因为你有你的系统内存,

导致最低2 GB的可用磁盘空间要求。

PSoC ®造物主发行说明

3.0

2013923 - 14 -

如果你的磁盘是高度分散的,它会严重影响内存分页时,可能会导致在很长

建立时间。几乎是完整的磁盘是特别容易碎裂。我们建议进行碎片整理

你的磁盘,如果您遇到过长建立时间( 10分钟以上) 。

USB

PSoC Creator中需要一个USB 2.0兼容的主机编程和调试。

屏幕

分辨率为1024×768像素或更高。

注意:上面给出的例子的建造时间获得新产品安装微创

零散的磁盘没有其他应用程序运行。如果您建立的时间超过了这些期望,我们

建议关闭不必要的应用程序,添加RAM系统(减少分页)

确保有足够的自由和未分片的磁盘空间。

软件更新说明

赛普拉斯更新管理器实用程序会在安装过程中的一部分,也可以安装,位于

在“开始”菜单。您可以使用此实用程序来更新所有已安装程序时更新

他们成为可用。

开源

这个软件包的部分如GNU自由和/或开源许可证授权下

通用公共许可证。这种自由和/或开放源码软件是适用的许可

协议,赛普拉斯许可协议覆盖该软件包。适用

许可条款将伴随每个源代码包。您可能会得到这种免费的源代码

/或从以下网站: www.cypress.com / GO /开源不收费的开源软件。

安装注意事项

安装过程是一组向导,引导您通过安装各种组件。您可以

从网络或从CD安装PSoC Creator中的各种先决条件。有轻微的差异

的过程中,根据在所述介质上,用于安装该软件。

光盘提供了必要的先决条件和向导指导您完成安装

相应的软件。以下各节包含更具体的安装细节。

注意不要插入在你的MiniProg3 ,直到所有的软件安装完成并在PSoC Creator

应用程序已经打开。

PSoC CreatorCD安装

PSoC CreatorCD包含PSoC Creator中和PSoC编程器,以及各种先决条件。

1。装入CD 。主安装程序将自动运行。如果不是,双击

cyautorun.exe文件来启动它。

2。在主安装程序,点击安装软件的PSoC ...“按钮推出PSoC Creator

InstallShield向导。

3。按照向导的提示。 PSoC CreatorCyInstaller打开,并显示步骤

安装PSoC Creator中。

PSoC ®造物主发行说明

3.0

2013923 - 15 -

4 。点击超链接没有安装任何软件,指示(如,使用Acrobat Reader

等等)。运行安装程序,该程序需要。

5 。继续按照提示安装PSoC Creator中。

赛普拉斯PSoC套件CD安装

一种试剂盒, CD包含的PSoC CreatorPSoC编程,以及项目文档,

先决条件需要相关的试剂盒。参照试剂盒说明书。

Web安装

如果您正在下载该软件从web www.cypress.com /创作者) ,运行在PSoC Creator

单一封装中的可执行文件。

1 PSoC Creator中的可执行文件,双击启动安装程序。

2。如果非赛普拉斯缺少先决条件(如。 NETWindows Installer等) ,与网页

下载链接会弹出。下载并安装的先决条件。运行安装程序,这些

根据需要的程序。

3。按照提示安装PSoC Creator中。 PSoC Creator中打开,并显示CyInstaller

一系列的步骤来安装PSoC Creator中,它会执行的先决条件检查并安装

先决条件。

4 。完成后,关闭安装程序。

进一步阅读

PSoC Creator中的主要文档中提供的帮助,你可以从“帮助”中打开

菜单或通过按[ F1 ] 。本新闻稿中包含的其他文件也可从“帮助”

菜单下文件。这些文件包括(但不限于) :

快速入门指南

系统参考指南

组件编写指南

网上提供更多的信息www.cypress.com /创作者,包括:

PSoC 3 4PSoCPSoC 5LP设备数据表

设备架构技术参考手册(TRM

设备寄存器TRM

迁移指南

应用笔记

培训

根据需要,请联系您的柏树代表。

PSoC ®造物主发行说明

3.0

2013923 - 16 -

修复的缺陷

此版本中修正了以下缺陷。这些缺陷被分离成不同的类别。

引导加载

赛普拉斯ID缺陷修复和影响

144418 PSoC Creator中抛出一个

在未处理的异常错误

Bootloadable项目

选择的装置从

PSoC 5中的PSoC 5LP

的问题只影响Bootloadable的项目。迁移

进程会尝试验证DMA启动模式设置跨越

BootloaderBootloadable项目。然而,本

Bootloader的信息不可用,这引起了空

例外。修复防止造成异常检查

DMA模式验证推迟到建立时间) 。

构建系统

赛普拉斯ID缺陷修复和影响

115747尝试注册在Keil

PK51工具创建错误:

prj.M0132 :无法注册

你的LIC数“

更新Keil的一个错误引起的问题

TOOLS.INI档案。已更新,文件的修改步骤

更强大的。注册Keil编译器应该更

可靠,这种变化。

152850成功构建报告“建设

失败“并且也不允许

调试。

该工具报告, GCC编译器列表文件( LST

生成的。出现这种情况时生成设置选项来生成

列表文件被禁用。构建系统更新只检查

列表文件,当用户要求他们要建。

154308数据生成失败

创建一个文档时,

topdesign.cysch一直

重新命名。

假设该发电机顶部的设计原理

称为“ TopDesign 。因为这不是一个项目的要求,

代码进行了更新,使表代,无论

的文件的文件名。

155537数据生成失败

创建一个文件的PSoC

5LP

该发电机只支持58 *系列的PSoC 5LP设备。

它已被更新,以支持52 * 54 * 56 *设备。

159307新的建筑设计

MDK版本(通用)

导致编译器相关的错误

未发现的系统库和

头。

RVDSMDK的最新版本可以发现其包含/ lib

没有环境变量的目录。如果目录

丢失(因为它们是在较新版本的工具链) ,

工具简单地跳过创建的变量。旧版本的MDK

继续支持以及最新的和未来,释放。

调试/编程

赛普拉斯ID缺陷修复和影响

148382设置KEIL μVision

下载的MiniProg3失败

更新在调试器设置。

μVision IDE MiniProg的端口配置对话框

设置,它适用于编程。对于调试,它依赖

上一个单机的基于PSoC CreatorcypsocXdebugger.exe文件

使用PSoC Creator中的端口设置。新出口μVision

功能更新都设置在μVision ,确保不存在

的不匹配,从而使下载和编程

正确设置。

PSoC ®造物主发行说明

3.0

2013923 - 17 -

骨架

赛普拉斯ID缺陷修复和影响

145749Verilog创建数据通路

编辑器工具被过度时写入

组分(例如,在

符号文件)被修改(和Verilog

重新生成)。

因为没有受到合并地区的Verilog代码,

“生成Verilog的一个符号”功能将覆盖

整个文件。现在数据通路编辑器之间插入代码

`#开始身体` /` #结束对。现在可以安全地重新生成的Verilog

代码后更新组件符号。

系统

赛普拉斯ID缺陷修复和影响

148459模拟编辑器显示DAC0

DAC1 ,而数据表

54XX系列数据表说,

DAC 02是存在于

设备。

在工具中,这些设备都被错误地描述。部分

定义现在是正确的 - 在实际的DAC 02)是

在模拟的资源页面。

152498PSoC 4 ,在一个输出使能

脚不控制输出

当它连接到一个TCPWM

从固定块(输出使能不能被驱动TCPWM

SCB ) ,只有DSI 。其结果是,操作失败的栅极的信号。

现在,该工具会发出一个刚果(金)时,输出引脚配置为

显示OE和连接到一个固定的块( OE

接受路由的信号) 。

154264全设计PSoC上的时钟

不允许同步选项

DSI时钟产生。

设计范围内的时钟“进行了更新,使

“高级”选项卡,让用户选择同步。

这是必需的这些情况下,路由信号

用作时钟需要被同步,有没有UDB

的资源可用来执行同步。它也比较有用

比使用Sync组件,因为它是在路由

全局时钟树,虽然它总是同步HFCLK的。

157049 PLL是不稳定的,即使设置

成功完成。

时钟设置程序做一个16位的的写FASTCLK_CFG0

这也将FASTCLK_CFG1 。的低四位

FASTCLK_CFG1有两个保留位和两个vco_gain

比特。这些位必须保留它们的复位值,但

代码覆盖他们,这可能会导致一个不稳定的PLL

已被修改以保护vco_gain的位的代码。

158839 28引脚SSOP封装的PSoC 4

设备只要求22GPIO

24个设备。

在工具的设备定义是错的,一直

更新,以使所有的24GPIO

158935违反误时机

消息当MASTER_CLK

除以3

由于四舍五入的错误STA工具计算路由倍

基于时钟的频率除以二。四舍五入

已重新编码以避免杂散警告。

PSoC ®造物主发行说明

3.0

2013923 - 18 -

赛普拉斯半导体

198冠军CT

圣何塞, CA 95134-1709 USA

电话: 408.943.2600

传真: 408.943.4730

应用支持热线: 425.787.4814

www.cypress.com

©赛普拉斯半导体公司, 2013年。保留所有权利。

此处包含的信息如有变更,恕不另行通知。赛普拉斯半导体公司不承担任何责任的使用

赛普拉斯的产品体现在电路以外的任何电路。也不明示或暗示的任何专利或其他权利许可证。柏

产品不保证,也不拟用于医疗,生命支持,救生,关键控制或安全应用程序,除非根据一项

表达与Cypress的书面协议。此外,赛普拉斯不授权将其产品作为关键部件使用生命支持

系统发生故障或故障可合理预期造成重大伤害到用户。若将赛普拉斯产品

生命支持系统中的应用表明制造商将承担所有使用风险,并赔偿赛普拉斯由此产生的所有费用。

PSoC ®CapSense ®注册商标,可编程片上系统™, PSoC Creator中™,和的SmartSense ™商标

赛普拉斯半导体公司。本文引用的所有其他商标或注册商标是其各自所有者的财产。

该源代码(软件和/或固件) ,赛普拉斯半导体公司(赛普拉斯)拥有并受受

全球专利保护(美国和国外) ,美国版权法和国际条约的规定。赛普拉斯特此授予

持牌个人的,非排他性的,不可转让的许可,复制,使用,修改,创建衍生作品,编译赛普拉斯源代码

和衍生作品的唯一目的是创建自定义软件和固件支持持牌产品只可用于结合

与赛普拉斯集成电路适用协议中指定。任何复制,修改,翻译,编译或代表

这个源代码,除非指定赛普拉斯未经明确的书面许可,禁止在上述。

免责声明:赛普拉斯不作任何形式的保证,明示或暗示,这种材料方面,包括

包括但不限于针对特定目的的适销性和适用性的默示担保。柏

有权进行更改,恕不另行通知此处所述材料。 ,赛普拉斯不承担任何责任所引起的

应用或使用本文所描述的任何产品或电路。赛普拉斯不授权将其产品作为关键部件使用生命支持

系统发生故障或故障可合理预期造成重大伤害到用户。在赛普拉斯产品列入

生命支持系统中的应用表明制造商将承担所有使用风险,并赔偿赛普拉斯由此产生的所有费用。



关键词: PSoC® Creator™3.0     PSoC® C    

共1条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]