这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » 仿真结果有延迟,为什么?

共1条 1/1 1 跳转至

仿真结果有延迟,为什么?

菜鸟
2015-09-02 21:49:08     打赏

为什么我的仿真结果有延迟?

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity mux2s1 is
port ( a, b, s : in std_logic;
             y : out std_logic
);
end entity mux2s1;
architecture behav of mux2s1 is
signal q : std_logic;
begin
process(a,b,s)
begin
if s='1' then q<=a;
else q<=b;
end if;
y<=q;
end process;
end architecture; 

 




关键词: 仿真      延迟    

共1条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]