这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » MCU » 5级M序列生成总有问题!求大神帮忙看下,到底是哪里不对?

共1条 1/1 1 跳转至

5级M序列生成总有问题!求大神帮忙看下,到底是哪里不对?

菜鸟
2016-01-08 14:58:54     打赏
library IEEE;
use ieee.std_logic_arith.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
ENTITY Msequence is 
PORT(
CLK: IN STD_LOGIC;
OTT: OUT STD_LOGIC
);
end Msequence;

ARCHITECTURE behavior OF Msequence is
SIGNAL a:STD_LOGIC_VECTOR(4 downto 0);
SIGNAL b:STD_LOGIC;
begin

process(CLK)
begin
if rising_edge(CLK) then
b<=(a(0) xor a(3)); 
a(4 downto 0)<=b&a(4 downto 1);

end if;
end process;
OTT<=a(0);
end behavior;
此处参考M序列5阶多项式的生成多项式,x的5次方+x的2次方+1



关键词: VHDL     M序列    

共1条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]