这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 综合技术 » Altera推出SoPC实现的开发套件

共1条 1/1 1 跳转至

Altera推出SoPC实现的开发套件

菜鸟
2002-11-27 20:54:54     打赏
Altera公司推出EPXA1开发套件,它采用该公司的Excalibur EPXA1嵌入式存储器PLD,可为设计师们提供SoPC实现的低成本完整方案。 除EPXA1器件外,该套件还包括一个10/100以太网MAC/PHY、双RS-232通信端口及调试功能的开发板。该电路板集成了8MB闪存和32MB SDRAM用于运行操作系统及应用代码。 Excalibur EPXA1采用ARM922T处理器,集成了完全嵌入处理器子系统及FPGA,为设计师们提供了迅速评估系统性能的特性,可实现不同的终端产品。 其它硬件特性包括用户可定义LED、开关、LCD模块、连接电缆阵列、电源和一套扩展头。该文档和参考设计介绍了Quartus II开发软件及GNUPro嵌入式开发工具,其中包括C编译器、汇编程序、连接器及调试器。 它还包括SoPC Builder系统开发工具,可以用AMBA AHB及Avalon总线规范构建复杂的基于总线系统,以将各类用户及第三方IP连接到处理器子系统中。



关键词: Altera     推出     实现     开发     套件    

共1条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]