这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » Testbench 波形 请教

共4条 1/1 1 跳转至

Testbench 波形 请教

菜鸟
2014-06-02 15:00:58     打赏

小弟初来乍到,还请大家多多指教。最近开始学Verilog,很多问题不懂。希望能够得到大家的帮助。

我想在testbench生产这样一个波形:

有个2位信号sel,产生前10ns为00,后面每30ns 加1的波形。

我现在只能产生30ns循环的波形。code如下


`timescale 1ns / 1ps

module Test;

	// Inputs
	reg [7:0] ina;
	reg [7:0] inb;
	reg [1:0] sel;

	// Outputs
	wire result;

	// Instantiate the Unit Under Test (UUT)
	M_compare uut (
		.ina(ina), 
		.inb(inb), 
		.sel(sel), 
		.result(result)
	);

	initial begin
		// Initialize Inputs
		ina = 0;
		inb = 0;
		sel = 0;
	end
   
	always
		begin
			#10 ina = 8'h23;
			#10 ina = 8'h8A;
			#10 ina = 8'h79;
		end
		
	always
		begin
			#10 inb = 8'h15;
			#10 inb = 8'hAC;
			#10 inb = 8'h79;
		end

	always #30 sel=sel+1;
			
endmodule







关键词: 波形    

工程师
2014-06-02 20:30:20     打赏
2楼
不会帮顶

菜鸟
2014-06-02 22:36:46     打赏
3楼
同求解

菜鸟
2014-06-05 01:15:17     打赏
4楼

有人告诉我了。


可以这样写:

initial begin
     sel=00;
     #10;
     forever begin
     #30 sel=sel+1;
     end
end

 


共4条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]