这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 高校专区 » 周师电子设计创新社区 » 第七次

共1条 1/1 1 跳转至

第七次

菜鸟
2015-01-06 23:09:35     打赏
 

5-1VHDL设计中,给时序电路清0(复位)有两种不同方法,它们是?如何实现?答:分为同步清零,和异步清零。'异步是指独立于时钟控制的复位控制端,即在任何时刻,只要RST有效,D触发器的输出端即刻被清0,与时钟的状态无关。同步清零是指依赖于时钟控制的复位控制端,i即只有在时钟的上升沿,其控制信号才起作用。一般的说凡是独立于时钟的异步控制信号都放在时钟边沿测试表述CLK'EVENT AND CLK='1'为条件句的IF语句以外(或以上)这都为异步控制信号。凡是依赖于时钟有效性的同步控制信号则放在时钟边沿测试表述以内(或以下)这都为同步控制信号。

 

 




关键词: 异步     同步    

共1条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]