这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » 软件与操作系统 » 四D触发器:74175

共1条 1/1 1 跳转至

四D触发器:74175

助工
2015-04-13 21:22:01     打赏
-- Quad D-Type Flip-flop

-- This example shows how a conditional signal assignment statement could be used to describe sequential logic(it is more common to use a process). 

-- The keyword 'unaffected' is equivalent to the 'null' statement in the sequential part of the language. 

-- The model would work exactly the same without the clause 'else unaffected' attached to the end of the statement. 

-- uses 1993 std VHDL





library IEEE;

use IEEE.Std_logic_1164.all;

entity HCT175 is  

   port(D : in std_logic_vector(3 downto 0);

         Q : out std_logic_vector(3 downto 0);

         CLRBAR, CLK : in std_logic);

end HCT175;



architecture VER1 of HCT175 is

begin

   Q <= (others => '0') when (CLRBAR = '0') 

            else D when rising_edge(CLK)

            else unaffected;

end VER1;


共1条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]