这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » MCU » 高手求助 Starting at 0x308000... 就不动了

共6条 1/1 1 跳转至

高手求助 Starting at 0x308000... 就不动了

菜鸟
2005-11-11 03:08:31     打赏

Attached TCP/IP interfacetoelPci0.
Warning: nonetmaskspecified.
Attaching network interfacelo0...done.
loading...634640
Starting at 0x308000...

就不动了。

我已经#define INCLUDE_PC_CONSOLE。

且我在usrRoot()中开始处加了:printf("hello world!\n"); 像是根本没有运行进来

是什么问题呢?怎么办呢?




关键词: 高手     求助     Starting     0x308000..    

菜鸟
2005-11-11 04:20:00     打赏
2楼
BSP是自己写的? 可能出问题的地方比较多,我用的办法是按照vxworks的启动流程,挨个打点插入输出信息,比较麻烦的哦

菜鸟
2005-11-11 19:46:00     打赏
3楼

不是自己写的

是使用tornado2.2自带的bsp:pcPentium4

只修改了config.h,如下:

#define DEFAULT_BOOT_LINE \
"elPci(0,0)host:vxworks h=192.168.18.230 e=192.168.18.231 g=192.168.18.1 u=zh pw=123"
#define INCLUDE_EL_3C90X_END /* (END) 3Com Fast EtherLink XL PCI 我用的网卡*/
#define INCLUDE_PC_CONSOLE /* PC keyboard and VGA console */


菜鸟
2005-11-11 22:09:00     打赏
4楼

好像bootrom启动完成了吧,是不是vxworks中错误啊


菜鸟
2005-11-19 18:07:00     打赏
5楼

请问高手这个问题该如何解决呢?


菜鸟
2005-11-19 18:20:00     打赏
6楼

printf语句执行比较慢

可能在死机的时候已经执行了,只是还没有显示就死机了

建议:1。先接上串口终端看是否pcconsole已经生效

2。 在printf后用getchar() 延迟


共6条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]