这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 综合技术 » 物联网技术 » 运算放大器基本电路——11个经典电路

共1756条 41/176 |‹ 39 40 41 42 43 44 ›| 跳转至
菜鸟
2013-09-24 14:40:18     打赏
401楼

电路的输出是这样吗?求解答,谢谢!Vout=-R2Vi/R1


菜鸟
2013-09-24 22:28:58     打赏
402楼

不知后边是什么电路,学习中。。。。。


助工
2013-09-25 15:17:20     打赏
403楼
瞧一瞧,看一看嘞!

菜鸟
2013-09-26 19:13:46     打赏
404楼
最近正需要此类知识啊

菜鸟
2013-09-27 08:56:27     打赏
405楼
观摩一下

菜鸟
2013-09-27 09:26:20     打赏
406楼
模拟真心难,想要做好难上加难啊

菜鸟
2013-09-27 09:29:56     打赏
407楼
这是正向放大吧,Vo=Vi(1+R1/R2)

院士
2013-09-27 15:16:28     打赏
408楼
今天才看见这个颇有时日的帖子,也复习了这个确实经典的归纳。谢谢王老师了

菜鸟
2013-09-27 22:44:02     打赏
409楼
我要看看,学习放大器的使用,以后工作要用啊

菜鸟
2013-09-29 09:32:43     打赏
410楼

学习了,谢谢分享


共1756条 41/176 |‹ 39 40 41 42 43 44 ›| 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]