这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » DIY与开源设计 » 电子DIY » 张津生进程贴

共24条 3/3 1 2 3 跳转至
菜鸟
2012-10-26 09:02:27     打赏
21楼
点进去之后,在对话框的右边靠中间的地方,就是了。建议先学习一下斑竹上传的那些Q2的基本操作。

专家
2012-10-29 13:41:15     打赏
22楼


视频地址:http://union.bokecc.com/flash/single/290666218ACBA694_ADEEBE819D4B8D38_false_EEA982EE6B20F4D1_1/player.swf


照猫画虎的学着传一下视频,跑马灯程序是在网友程序改了一下方向。
程序如下: 
module LEDMO( clk, rst, led0,led1,led2,led3,led4,led5,led6,led7, led_r,led_g,led_y ); 
input clk; input rst; 
output led0,led1,led2,led3,led4,led5,led6,led7; 
output led_r,led_g,led_y; 
reg[31:0] count; 
reg[10:0] led_out; 
always @(posedge clk or negedge rst) 
begin 
 if(!rst)
         count<=31'd0;
        else
         if(count==31'd220_000_000)
           count<=31'd0;
         else
           count<=count+1'b1;
      end
     
always @(posedge clk or negedge rst)
      begin
        if(!rst)
         led_out <= 1'b0;
        else
         if(count >= 31'd0 && count < 31'd20_000_000)
          led_out <= 11'b011_1111_1111;
         else if(count >= 31'd20_000_000 && count < 31'd40_000_000)
          led_out <= 11'b101_1111_1111;
         else if(count >= 31'd40_000_000 && count < 31'd60_000_000)
          led_out <= 11'b110_1111_1111;
         else if(count >= 31'd60_000_000 && count < 31'd80_000_000)
          led_out <= 11'b111_0111_1111;
         else if(count >= 31'd80_000_000 && count < 31'd100_000_000)
          led_out <= 11'b111_1011_1111;
         else if(count >= 31'd100_000_000 && count < 31'd120_000_000)
          led_out <= 11'b111_1101_1111;
         else if(count >= 31'd120_000_000 && count < 31'd140_000_000)
          led_out <= 11'b111_1110_1111;
         else if(count >= 31'd140_000_000 && count < 31'd160_000_000)
          led_out <= 11'b111_1111_0111;
         else if(count >= 31'd160_000_000 && count < 31'd180_000_000)
          led_out <= 11'b111_1111_1011;
         else if(count >= 31'd180_000_000 && count < 31'd200_000_000)
          led_out <= 11'b111_1111_1101;
         else if(count >= 31'd200_000_000 && count < 31'd220_000_000)
          led_out <= 11'b111_1111_1110;
      end
     
assign led0 =led_out[0];
assign led1 =led_out[1];
assign led2 =led_out[2];
assign led3 =led_out[3];
assign led4 =led_out[4];
assign led5 =led_out[5];
assign led6 =led_out[6];
assign led7 =led_out[7];

assign led_r = led_out[8];
assign led_g = led_out[9];
assign led_y = led_out[10];

endmodule


专家
2012-11-22 15:33:12     打赏
23楼
很抱歉,最近单位工作很忙,所以学习只好暂时撂一下。下月在开始写
真的好累呀!

专家
2012-12-20 16:24:45     打赏
24楼
今天收到版主短信,很抱歉,接近年末最近单位工作很忙,所以学习只好暂时撂一下。大约12月27日左右可以告一段落,再开始写作业,先将作业补齐再说。现在每天只睡几小时,真的好累呀!

共24条 3/3 1 2 3 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]