这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 高校专区 » 周师电子设计创新社区 » 在VHDL设计中,给时序电路清0(复位)有两种不同方法,它们是什么?如何实现?

共1条 1/1 1 跳转至

在VHDL设计中,给时序电路清0(复位)有两种不同方法,它们是什么?如何实现?

菜鸟
2015-01-09 09:04:37     打赏
两种方法为同步清零和异步清零。
同步清零是指与时钟同步,即时钟触发条件满足时检测清零信号是否有效,有效则在下一个时间周期的触发条件下,执行清零。
异步清零是清零信号有效时,无视触发脉冲,立即清零。

同步清零信号必须在时钟边沿信号到来时,才能实现清零功能。

同步复位是指与时钟同步,当复位信号有效之后,出现时钟有效边沿时才对电路模块进行复位操作;而异步复位与时钟信号无关,只要复位信号有效,无论这时时钟信号是什么样,都对电路模块进行复位操作。


共1条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]