这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » MCU » [求助] 不明白为什么 大家谁给支个招呀

共1条 1/1 1 跳转至

[求助] 不明白为什么 大家谁给支个招呀

菜鸟
2006-06-17 03:26:01     打赏

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity adder is
port(cin:in std_logic;
a,b:in std_logic_vector(3 downto 0);
s:out std_logic_vector(3 downto 0);
cout std_logic);
end adder;
architecture behav of adder is
signal temp:std_logic_vector(4 downto 0);
begin
process(a,b,cin)
begin
temp<=('0'&a)+b+cin;
if(temp(3 downto 0)>9 or (temp(4)='1')then
s<=temp(3 downto 0)+6;
co<='1';
else
s<=temp(3 downto 0);
co<='0';
end if;
end process;
end behav;

unexpected end-of-file
-try using the Text Editor's Syntax Coloring command to find the missing
delimiter or keyword




关键词: 求助     明白     为什么     大家     支个招    

共1条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]