这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » 求助:数据的输入

共13条 1/2 1 2 跳转至

求助:数据的输入

菜鸟
2010-05-22 10:37:01     打赏
我现在要在FPGA上面输入三组数据,输入接口是八位二进制数,想用键盘输入,请问该怎么做啊,最好是要VHDL或Verilog HDL程序,总之就是要完成八位二进制的输入



关键词: 求助     数据     输入    

高工
2010-05-22 12:56:50     打赏
2楼

你的键盘是什么样子的?

最好的方式是用一个MCU给FPGA写寄存器


菜鸟
2010-05-22 13:30:47     打赏
3楼
我的键盘还没设计,目的就是要在键盘上输入0到255的数,传输到FPGA板子时是八位二进制数,这个是用来给八位二进制计数器预置初值的,你们觉得该怎么样才能实现啊?

专家
2010-05-22 15:44:39     打赏
4楼
不用电脑键盘?

高工
2010-05-22 20:03:48     打赏
5楼

如果是三组8位数的话,还要译码,比较麻烦。FPGA就不适合做UI。

如果有单片机的板子的话,用SPI接口配置FPGA,我觉得是最方便的了


菜鸟
2010-05-22 23:12:21     打赏
6楼
三组比较麻烦,那就只要一组嘛,用单片机有程序的,但不用这个,我只要能够输入八位二进制数,键盘可以是4*4或4*3,用键盘电路直接和FPGA相连或中间加个译码器,用硬件语言怎么描述啊?就是将0到255的数输入FPGA内。

菜鸟
2010-05-22 23:13:29     打赏
7楼
不用,没那么复杂,只是一个简单的设计

工程师
2010-05-23 09:27:57     打赏
8楼

如果不需要太直观的话就用一个八位的拨码开关吧,代表8位二进制数,不过需要输入啥数的话需要先求出它的二进制表示


菜鸟
2010-05-23 10:46:04     打赏
9楼
可以啊 ,你能不能把你的这个拨码开关的思路详细描述一下呢?

高工
2010-05-23 11:04:29     打赏
10楼

只要把拨码开关的8个脚接到FPGA脚上,然后直接读取0和1,这是一种直接的方法。

还有一种,有一个按键,当按键按下时,读取拨码开关状态,如果有多个寄存器那就准备多个按键吧。


共13条 1/2 1 2 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]