这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » 用VHDL怎么表示ram 从rom里面读数据读满了或者写满了啊

共3条 1/1 1 跳转至

用VHDL怎么表示ram 从rom里面读数据读满了或者写满了啊

助工
2011-10-26 10:43:11     打赏
用VHDL怎么表示ram 从rom里面读数据读满了或者写满了啊



关键词: 怎么     表示     里面     数据     满了     或者    

助工
2011-10-26 10:44:44     打赏
2楼
按地址来算啊
看看ram多大的,比较一下

工程师
2011-10-26 11:14:02     打赏
3楼

如果ROM是用的IP,有空标志和满标志!


共3条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]