这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » 循环结构的延时计算,怎么算延时了多长啊!

共32条 3/4 1 2 3 4 跳转至
助工
2013-09-13 06:38:37     打赏
21楼
啊  在122页吗  你说的是pdf的122页吧   我说的110是指书标注的页码

菜鸟
2013-09-16 11:53:20     打赏
22楼
这个我没看到过   希望你下来找找  给大家分享一下  谢啦

菜鸟
2013-09-17 07:10:35     打赏
23楼
是有一定的误差的

高工
2013-09-17 09:25:20     打赏
24楼
主要是高清系统时钟具体是多少,这样就能够确定系统的指令周期,然后再根据要延时的时间来计算自加多少个数,自加一次两个指令周期。

菜鸟
2013-09-18 06:47:34     打赏
25楼
昨晚折腾了一个多钟,资料的是3.3,我用的是4.2,最后还是搞不懂,就是我找不到它究竟运作了多少个CPU时钟周期,3.3一点那个画面显示就看到“CPU时钟周期显示”,但4.2版本的找不到啊??????郁闷啊???

菜鸟
2013-09-23 06:48:34     打赏
26楼
我也知道他是循环指令,我就是每条汇编指令的占用的时间啊???
兄弟那你有所有汇编指令集占用CPU时钟周期的资料吗?
给我发一份,自己算更简单!!!!!

助工
2013-09-24 06:42:14     打赏
27楼
这里面的分享  你可以看看  是不是有相关介绍

助工
2013-09-25 06:34:16     打赏
28楼
小弟给你一个建义,数字示波器一台,芯片输出口一脚,做一个方波量一量

助工
2013-09-26 07:01:10     打赏
29楼
不费时,不伤神呀

助工
2013-09-27 06:46:34     打赏
30楼
谢谢!!!!!

共32条 3/4 1 2 3 4 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]