这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » DIY与开源设计 » 电子DIY » FPGA_LED

共6条 1/1 1 跳转至

FPGA_LED

菜鸟
2013-09-18 00:56:33     打赏

    总算可以上网了,这几天总登不上去,废话不多说。看FPGA的测设程序,复位信号都是用的下降沿,我把它换为上升沿,就不得行了,代码如下,我还是个初学者,希望大家多多指教

module led_ex(sys_clk   ,
              sys_rst   ,
      led
             );
//
input         sys_clk   ;
input         sys_rst   ;
output [3:0]  led       ;
//
reg    [3:0]  led       ;
reg    [24:0] delay_cnt ;
//
always@(posedge sys_clk or posedge sys_rst)
   begin
     if(sys_rst)
       delay_cnt <= 25'd0;
   else if (delay_cnt == 25'd24999999)
       delay_cnt <= 25'd0;
   else
       delay_cnt <= delay_cnt + 1'b1;
 end
//
always@(posedge sys_clk or posedge sys_rst)
   begin
     if(sys_rst)
      led <= 4'b0111;
   else
      begin
       if(delay_cnt == 25'd24999999)
         led <= {led[0],led[3:1]};
     else
         led <= led;
    end
 end
endmodule

 


高工
2013-09-18 09:13:40     打赏
2楼
sys_rst信号如果是一个正脉冲,宽度比clk大,应该能正常工作,除非sys_rst信号上升沿后一直为高,或者脉宽不够

高工
2013-09-18 10:04:45     打赏
3楼

板子上的复位管脚是低电平有效啊,也就是说按键按下是低电平,不按下时是高电平,你程序一直处于复位状态啊。不能随便改的,要根据原理图来啊! 你可以试试常按住复位按键是什么效果


工程师
2013-09-18 10:26:57     打赏
4楼
复位信号是和硬件相关的,这些要看原理图的

菜鸟
2013-09-18 12:44:56     打赏
5楼
嗯嗯,以前没接触过,谢谢指教哈,现在知道了

菜鸟
2013-09-18 12:45:48     打赏
6楼
嗯嗯,知道了

共6条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]