这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 企业专区 » Xilinx » 求问VHDL如何能生成随机数

共2条 1/1 1 跳转至

求问VHDL如何能生成随机数

菜鸟
2016-10-26 15:13:29     打赏
有没有大神知道 FPGA能不能生成随机数的,用 VHDL写的,比如随机生成一个0至 1的数 ,或者生成1,2,3,4,5,6,7,8,9,之间的任意数,


专家
2016-10-28 17:54:08     打赏
2楼
rnd产生0到1的随机数,rnd*5则为0到5的随机数,cint(rnd*5)为0,1,2,3,4,5这几个数的随机,你的10应该改为5
看代码
<%
randomize
dim k
k=cint(rnd*5)
if k=0 then 
k=k+1
end if
%>
<img src="images/<%=k%>.jpg" > 
你说1到5随机,可以直接这样写
<%
randomize
dim k
k=cint(rnd*4+1)
%>
<img src="images/<%=k%>.jpg" >

 参考下


共2条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]