这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » 软件与操作系统 » 8位数据锁存器

共1条 1/1 1 跳转至

8位数据锁存器

助工
2015-04-13 21:21:47     打赏
--

--

------------------------------------------------------------------------------------

-- DESCRIPTION   :  Flip-flop D type

--                  Width: 8

--                  Clock active: high

--                  Synchronous clear active: high

--                  Synchronous set active: high

--                  Clock enable active: high

--                  Load active: high

------------------------------------------------------------------------------------





library IEEE;

use IEEE.std_logic_1164.all;



entity ffd is

        port (

                CLR : in std_logic;

                SET : in std_logic;

                CE : in std_logic;

                LOAD : in std_logic;

                CLK : in std_logic;

                DATA_IN : in std_logic_vector (7 downto 0);

                DATA_OUT : out std_logic_vector (7 downto 0)

        );

end entity;







architecture ffd_arch of ffd is

signal TEMP_DATA_OUT: std_logic_vector (7 downto 0);

begin



        process (CLK)

        begin



                if rising_edge(CLK) then

                        if CE = '1' then

                                if CLR = '1' then

                                        TEMP_DATA_OUT <= (others => '0');

                                elsif SET = '1' then

                                        TEMP_DATA_OUT <= (others => '1');

                                elsif LOAD = '1' then

                                        TEMP_DATA_OUT <= DATA_IN;

                                end if;

                        end if;

                end if;



        end process;



        DATA_OUT <= TEMP_DATA_OUT;



end architecture;



共1条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]