这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » 请教quartus6.0的一个VHDL程序问题

共2条 1/1 1 跳转至

请教quartus6.0的一个VHDL程序问题

菜鸟
2007-04-16 17:08:42     打赏
我是一个新手,最近我在QUARTUSII6.0用VHDL编程,每次只要程序中含有 + 、-、*、/ ,编译时都会报类似的错误,如下面这个程序:
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_unsigned.ALL;
entity adder is
port( in1 : bit_vector;
in2 : bit_vector;
cnt1 : bit;
pout : out bit_vector);
end entity adder;
architecture func of adder is
begin
process(cnt1)
begin
if(cnt1='1') then pout<=in1 + in2;
end if;
end process;
end architecture func;

每次编译时总是出现这样的错误提示:
Error (10327): VHDL error at adder.vhd(15): can't determine definition of operator ""+"" -- found 0 possible definitions
Error (10523): Ignored construct func at adder.vhd(11) due to previous errors
如果把程序中的“+”换成“*”或者“-”,编译时会把错误中的operator ""+"" 改成operator ""*""和operator ""-"",请高手指点一下出错的原因。谢谢了



关键词: 请教     quartus6.0     一个     程序     问题    

菜鸟
2007-04-23 07:40:00     打赏
2楼

共2条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]