这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » 程序中使用ISE的IP核的情况下由synplify调用ISE的疑问

共3条 1/1 1 跳转至

程序中使用ISE的IP核的情况下由synplify调用ISE的疑问

工程师
2009-12-09 22:48:26     打赏
我的程序中使用了ISE的core generator生成的双口ram,我想使用synplify作为综合工具,然而从ISE中调用synplify综合的话综合是在后台进行的,只有综合完成后才能打开synplify查看结果。
然而我希望通过直接使用synplify来帮助我添加约束,所以我将编好的程序用synplify新建了一个工程,添加完约束并综合后,从synplify来调用ISE,在ISE中继续执行Implement操作时就报错了,就是关于我用core generator生成的ram的,错误为:
ERROR:NgdBuild:604 - logical block 'chushi/cmem' with type 'dram' could not be
   resolved. A pin name misspelling can cause this, a missing edif or ngc file,
   or the misspelling of a type name. Symbol 'dram' is not supported in target
   'virtex4'.

我确认了语法上没有错误,那就可能是“a missing edif or ngc file”这个可能了,而synplify综合完后提供给ISE使用的只有一个.edf的网表文件啊,找不到edif 或 ngc类型的文件,这是怎么回事呢?




关键词: 程序     使用     情况下     synplify     调用     疑问    

工程师
2009-12-10 10:33:35     打赏
2楼
问题已解决。方法是在synlify的工程或者ISE的工程中添加调用core generator时生成的.xco文件~~我一直以为只要在建工程时只要把用core generator生成的.v或.vhd文件加进去就可以删掉xco了,没想到不行。又一次被错误提示误导~~

高工
2009-12-10 10:52:25     打赏
3楼
xco才是真正的Core文件,v和vhd只是接口方式

共3条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]