这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » ps2键盘扫描的程序问题

共2条 1/1 1 跳转至

ps2键盘扫描的程序问题

菜鸟
2014-08-20 14:24:01     打赏

reg key_f0;  //松键标志位,置1表示接收到数据8'hf0,再接收到下一个数据后清零
reg ps2_state_r; //键盘当前状态,ps2_state_r=1表示有键被按下

always @ (posedge clk or negedge rst_n) begin //接收数据的相应处理,这里只对1byte的键值进行处理
 if(!rst_n) begin
   key_f0 <= 1'b0;
   ps2_state_r <= 1'b0;
  end
 else if(num==4'd10) begin //刚传送完一个字节数据
   if(temp_data == 8'hf0) key_f0 <= 1'b1;
   else begin
     if(!key_f0) begin //说明有键按下
       ps2_state_r <= 1'b1;
       ps2_byte_r <= temp_data; //锁存当前键值
      end
     else begin
       ps2_state_r <= 1'b0;
       key_f0 <= 1'b0;
      end
    end
  end
end


菜鸟
2014-08-20 14:24:40     打赏
2楼
这段程序,不需要锁存断码的值吗?

共2条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]