这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » Verilog实现按键消抖检测教程

共1条 1/1 1 跳转至

Verilog实现按键消抖检测教程

菜鸟
2017-06-29 14:12:03     打赏

今天进行了fpga的按键操作学习,本来以为很简单,却是费了好长的时间。

今天下午很随意的觉得按键检测应该和时序电路没啥关系,简单写了个组合逻辑的程序,结果啥都不是。

因为按键肯定要考虑抖动的影响,如果要消抖就必须考虑到前一时刻和该时刻的按键值的变化。因此还是应该用时序逻辑。

随后参考了一篇在网上赞为经典的博文《基于verilog按键消抖设计》。读了他的代码花了不少时间分析,还是很有启发的。核心的算法就是:


通过前一时刻和后一时刻采到的按键值相与来判断按键是否真的按下。


最后借用他的思想,结合自己的板子写了如下程序,实现了按键操作功能。




共1条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]