这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » PWM呼吸灯你不会做?

共8条 1/1 1 跳转至

PWM呼吸灯你不会做?

工程师
2019-08-25 16:51:35     打赏
PWM呼吸灯
1 项目背景随着LED在照明领域的不断发展,其控制方式也越来越多样化,形成不同的视觉效果。相比较的只具备“开”“关”功能的传统LED照明,能够实现从0到100%光的亮度的调节的LED灯,在家装灯饰、舞美灯光等领域的需求更为突出。呼吸灯是指灯光由高到暗的逐渐变化,感觉好像是人在呼吸。所谓的“呼吸灯”就是根据人的呼吸频率通过光的强弱表现出来:呼吸分为两个过程,一个是“呼”的过程,一个是“吸”的过程。其广泛应用于手机之上,并成为各大品牌手机的卖点之一。如果你的手机里面有未处理的通知,比如说未接来电,未查收的短信等,呼吸灯就会由暗到亮的变化,像呼吸一样那么有节奏,起到一个通知提醒的作用。呼吸灯的设计方法有很多,有的是用单片机产生PWM(脉冲宽度调制)来驱动LED,也有采用555定时器来做。电路利用电容充放电原理,较为简单。脉宽调制(Pulse Width Modelation,PWM),是利用微处理器/FPGA的数字输出来对模拟电路进行控制的一种非常有效的技术,广泛应用在测量、通信、功率控制与变换等许多领域。PWM数字信号从处理器到被控系统都是数字形式,无需数模转换。航模中的控制信号大多是PWM信号,比如FUTABA,JR等舵机的控制都采用PWM方式。发射机给接收机一串脉冲,比如基础脉宽是100ms,那么发射机的脉宽变大时,比如增大为150ms,那么接收机就控制舵机正向旋转,发射的脉宽减小时,比如减小为50ms,那么接收机就控制舵机逆向旋转。PWM是一种对模拟信号电平进行数字编码的方法。通过高分辨率计数器的使用,方波的占空比被调制用来对一个具体模拟信号的电平进行编码。PWM信号仍然是数字的,因为在给定的任何时刻,满幅值的直流供电要么完全有(ON),要么完全无(OFF)。电压或电流源是以一种通(ON)或断(OFF)的重复脉冲序列被加到模拟负载上去的。通的时候即是直流供电被加到负载上的时候,断的时候即是供电被断开的时候。只要带宽足够,任何模拟值都可以使用PWM进行编码。通俗来说,PWM就是连续的、一定比例占空比的脉冲信号。通过控制占空比来实现不同的控制。简单地,我们可以认为PWM就是一种方波。如图所示:图 176PWM波形图PWM实现呼吸灯的原理当输出为低电平时控制LED灯,当输出低电平时,灯亮,当输出高电平时,灯灭。如果一直输出低电平,则灯一直亮;如果一直输出高电平,则灯一直暗;如果50%时间输出低电平,50%时间输出高电平,则灯会暗一些。所以占空比会影响到LED灯的明暗程度。另一个影响LED亮度的是PWM波形的周期。试想一下,如果PWM的周期是2秒,占空比为50%,那我们看到的是将是LED暗1秒、亮1秒,而不是半亮的状态。只是我们提高PWM的周期,才能看到半亮的状态。根据经验值,PWM的周期是10毫秒为宜。也就是说,控制高低电平的时间,也就是占空比(高电平占周期的百分比,例如上面是60%),以及控制PWM的周期,就可以控制灯的亮暗程度。
2 设计目标本工程实现一个控制LED灯亮度的功能,具体要求:上电后,LED灯显示接近于灭,然后在10秒内,每隔2秒,亮度变化一次,逐渐变亮。在下一个10秒内,每隔2秒,亮度变化一次,逐渐变暗。总而言之,就是20秒一次循环,每隔2秒变化一次,前10秒亮度增大,后10秒,亮度减小。开发板的硬件原理图图 177上板效果图如下图所示。图 1783 设计实现3.1 顶层信号新建目录:D:\mdy_book\pwmled。在该目录中,新建一个名为pwmled.v的文件,并用GVIM打开,开始编写代码。我们先分析一下板子上的LED灯。板子上的每个LED灯,都有一个信号与之相连,这个信号一端连着LED,另一端连着FPGA。FPGA控制这根线,就可以控制LED灯的亮、灭以及亮度。当FPGA将这根线输出为0时,LED要亮;当FPGA将这根线输出为1时,这个LED灯就灭;FPGA通过输出一个PWM波形,并且控制占空比,就可以实现LED的亮度控制。下面表格表示了硬件电路图的连接关系。器件原理图信号FPGA管脚FPGA工程信号LED6LED1_NETAA4ledX1SYS_CLKG1clkK1SYS_RSTAB12rst_n综上所述,我们这个工程需要三个信号,时钟clk,复位rst_n和输出信号led。将module的名称定义为pwmled。为此,代码如下:12345module pwmled(clk&nBSP;   ,rst_n  ,led);其中clk、rst_n是输入信号,led是输出信号,并且三个信号都是1比特的,根据这些信息,我们补充输入输出端口定义。代码如下:123input               clk     ;input               rst_n   ;output              led     ;
3.2 信号设计我们首先分析一下需求:上电后,LED灯显示接近于灭,然后在10秒内,每隔2秒,亮度变化一次,逐渐变亮。在下一个10秒内,每隔2秒,亮度变化一次,逐渐变暗。总而言之,就是20秒一次循环,每隔2秒变化一次,前10秒亮度增大,后10秒,亮度减小。另外,根据PWM的原理,通过控制PWM的占空比就可以实现亮度控制。占空比越大(高电平时间越长,低电平时间越低),灯的亮度越暗。根据这个原理,可以翻译成:FPGA控制led信号,输出PWM波形,并调整占空比。调整方法:20秒一次循环,每隔2秒变化led的占空比一次,前10秒占空比变大,后10秒,占空比变小。由于需求没有说明具体的占空比是多少,那我们就自行制定一下占空比,读者可以在上板时,根据视觉效果,调整占空比的大小。自行制定的占空比如下:第1个2秒内,占空比为95%;第2个2秒内,占空比为85%;第3个2秒内,占空比为70%;第4个2秒内,占空比为50%;第5个2秒内,占空比为20%;第6个2秒内,占空比为20%;第7个2秒内,占空比为50%;第8个2秒内,占空比为70%;第9个2秒内,占空比为85%;第10个2秒内,占空比为95%。然后按上面的过程循环。PWM的波的周期,根据经验值可以设为10毫秒。根据上述分析,这个led信号的变化情况如下:图 179第1次持续时间2秒,每10毫秒输出一个PWM波(9.5毫秒时变低);第2次持续时间2秒,每10毫秒输出一个PWM波(8.5毫秒时变低);第3次持续时间2秒,每10毫秒输出一个PWM波(7.0毫秒时变低);第4次持续时间2秒,每10毫秒输出一个PWM波(5.0毫秒时变低);第5次持续时间2秒,每10毫秒输出一个PWM波(2.0毫秒时变低);第6次持续时间2秒,每10毫秒输出一个PWM波(2.0毫秒时变低);第7次持续时间2秒,每10毫秒输出一个PWM波(5.0毫秒时变低);第8次持续时间2秒,每10毫秒输出一个PWM波(7.0毫秒时变低);第9次持续时间2秒,每10毫秒输出一个PWM波(8.5毫秒时变低);第10次持续时间2秒,每10毫秒输出一个PWM波(9.5毫秒时变低);从中我们可以得到有以下几个计数器:计数10毫秒时间的计数器;计数2秒时间的计数器以及计数第1~10次的计数器。计数10毫秒的计数器的设计思路。本工程的工作时钟是50MHz,即周期为20ns,计数器计数到10_000_000/20=500_000个,我们就能知道10毫秒时间到了。另外,由于该计数器是不停地计数,永远不停止的,可以认为加1条件一直有效,可写成:assign add_cnt==1。该计数器一共要数500_000个。综上所述,该计数器的代码如下。1234567891011121314always @(posEDGE clk or negedge rst_n)beginif(!rst_n)begincnt0 <= 0;endelse if(add_cnt0)beginif(end_cnt0)cnt0 <= 0;elsecnt0 <= cnt0 + 1;endendassign add_cnt0 = 1;assign end_cnt0 = add_cnt0 && cnt0== 500_000-1;2秒时间计数器的设计思路。本工程的工作时钟是50MHz,即周期为20ns,计数器计数到2_000_000_000/20=100_000_000个,我们就能知道2秒时间到了。这是一种设计思路。但我们也可以以10毫秒为基础,通过数有2_000_000_000/10_000_000=200个10毫秒时间,就能知道2秒时间到了。所以该计数器的加1条件是end_cnt0,一共有数200个。综上所述,该计数器的代码如下。1234567891011121314always @(posedge clk or negedge rst_n)beginif(!rst_n)begincnt1 <= 0;endelse if(add_cnt1)beginif(end_cnt1)cnt1 <= 0;elsecnt1 <= cnt1 + 1;endendassign add_cnt1 = end_cnt0;assign end_cnt1 = add_cnt1 && cnt1==200-1 ;第1~第10次计数器的设计思路。该计数器是每隔2秒就会加1,也就是end_cnt1的时候,就会加1;该计数器一共要数10个。综上所述,该计数器的代码如下。1234567891011121314always @(posedge clk or negedge rst_n)beginif(!rst_n)begincnt2 <= 0;endelse if(add_cnt2)beginif(end_cnt2)cnt2 <= 0;elsecnt2 <= cnt2 + 1;endendassign add_cnt2 = end_cnt1;assign end_cnt2 = add_cnt2 && cnt2==10-1 ;有了这三个计数器,我们来思考输出信号led的变化。概括起来,led有两个变化点:变0和变1。变0的原因都是在10毫秒计数器数到一定个数时变0,但这个计数是会变的,那么我们可以假设为x,也就是数到x个时,led变0。变1则是由于10毫秒计数时间到了,也就是end_cnt0时,led变1 。综上所述,led信号的代码如下:1234567891011always  @(posedge clk or negedge rst_n)beginif(rst_n==1'b0)beginled <= 1;endelse if(add_cnt0 && cnt0==x-1) beginled <= 0;endelse if(end_cnt0)beginled <= 1;endend最后我们再来思考变量x。x是led变0的时间。这个时间在不同的次数时,值会不相同。例如第1次是数到9.5毫秒(cnt0数到475_000个),第2次则是在8.5毫秒(cnt0数到425_000个)。也就是说x的值与第几次有关,即与cnt2有关。根据题意,可知x的代码如下:1234567891011121314151617181920212223242526272829303132always  @(*)beginif(cnt2==0)beginx = 475_000 ;endelse if(cnt2==1)beginx = 425_000 ;endelse if(cnt2==2)beginx = 350_000 ;endelse if(cnt2==3)beginx = 250_000 ;endelse if(cnt2==4)beginx = 100_000 ;endelse if(cnt2==5)beginx = 100_000 ;endelse if(cnt2==6)beginx = 250_000 ;endelse if(cnt2==7)beginx = 350_000 ;endelse if(cnt2==8)beginx = 425_000 ;endelse beginx = 475_000 ;endend此次,主体程序已经完成。接下来是将module补充完整。3.3 信号定义接下来定义信号类型。cnt0是用always产生的信号,因此类型为reg。cnt0计数的最大值为500_000,需要用19根线表示,即位宽是19位。因此代码如下:1reg[18:0]           cnt0    ;add_cnt0和end_cnt0都是用assign方式设计的,因此类型为wire。并且其值是0或者1,1个线表示即可。因此代码如下:12wire                add_cnt0;wire                end_cnt0;cnt1是用always产生的信号,因此类型为reg。cnt1计数的最大值为200,需要用8根线表示,即位宽是8位。因此代码如下:1reg[7:0]           cnt1    ;add_cnt1和end_cnt1都是用assign方式设计的,因此类型为wire。并且其值是0或者1,1根线表示即可。因此代码如下:12wire                add_cnt1;wire                end_cnt1;cnt2是用always产生的信号,因此类型为reg。cnt2计数的最大值为9,需要用4根线表示,即位宽是4位。因此代码如下:1reg[ 3:0]           cnt2    ;add_cnt2和end_cnt2都是用assign方式设计的,因此类型为wire。并且其值是0或者1,1根线表示即可。因此代码如下:12wire                add_cnt2;wire                end_cnt2;led是用always方式设计的,因此类型为reg。并且其值是0或者1,1根线表示即可。因此代码如下:1reg                 led     ;x是用always方式设计的,因此类型为reg。并且其值是最大是475_000,需要19根线表示即可。因此代码如下:1reg[18:0]           x;至此,整个代码的设计工作已经完成。下一步是新建工程和上板查看现象。
请期待下文。有兴趣了解更多的可以加我Q2047954013




工程师
2019-08-25 21:58:59     打赏
2楼

学习了


工程师
2019-08-25 22:11:41     打赏
3楼

不错


高工
2020-03-28 14:29:45     打赏
4楼

学习了


工程师
2020-03-28 22:40:30     打赏
5楼

呼吸灯,就像三角波: 小到大,然后再从大到小,循环重复。



工程师
2020-03-28 22:44:03     打赏
6楼

实现应该很简单吧!

另外,楼主的文章排版,好乱



工程师
2020-03-28 22:50:16     打赏
7楼

心跳灯,估计也挺酷滴~


高工
2020-03-29 19:18:33     打赏
8楼

学习了,谢谢楼主


共8条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]