这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » Quartus中调用IP核,求高手帮助啊!!!

共14条 2/2 1 2 跳转至
高工
2011-12-23 21:42:04     打赏
11楼
印象中应该在自动生成的那个包含IP CORE文件夹里面
位置可能记错,两年前做过,记不准了

助工
2012-02-21 15:03:23     打赏
12楼
应该会生成个顶层文件的

助工
2012-03-08 14:32:19     打赏
13楼

调用IP核的目的就是为了拿他当黑盒子使用,所谓乱码是官方采用的加密,就算不是乱码,恐怕也只有编译器能看懂。当然,在仿真该Ip核时,官方可能还会给你点内部信号时序参考。


菜鸟
2012-03-14 09:16:25     打赏
14楼
来自己回答自己吧,过了好久才来看这个帖子…… 用助手建立IP核,会产生.v文件,在顶层模块中例化该模块,例如模块名称叫做ram吧,在顶层中实例化即可进行应用 ram RAM( .clock(CLK) .address(ADDRESS) (继续写需要用的端口即可) ) 在顶层模块中对端口进行操作即可。 其实是个很简单的问题,去年做为初学者有的地方总是绕不过来,方法中有什么不妥当的,请各位路过的大神指出,共同进步!谢谢!

共14条 2/2 1 2 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]