这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 企业专区 » Xilinx » 求助:用ISE自带的Isim仿真时提示fatal error

共2条 1/1 1 跳转至

求助:用ISE自带的Isim仿真时提示fatal error

助工
2013-08-20 20:06:10     打赏

想做个计数器的仿真试试,前面程序在检查语法错误的时候都没有问题。要仿真的时候,双击simulate behavioral model的时候就提示下面那两个错误。

FATAL_ERROR:Simulator:Fuse.cpp:209:1.133 - Failed to compile one of the generated C files. Please recompile with -mt off -v 1 switch to identify which design unit failed. Process will terminate.
FATAL_ERROR:Simulator:Fuse.cpp:209:1.133 - Failed to compile one of the generated C files. Please recompile with -mt off -v 1 switch to identify which design unit failed. Process will terminate.


有没有哪位大神遇到过这种情况?




关键词: 求助     仿真     error    

助工
2013-08-21 09:59:27     打赏
2楼

研究好久还是没搞清楚他说的 -mt off和-v 1怎么加进去。不过用modelsim可以进行仿真了。谢谢各位大神!


共2条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]