这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » FPGA做AD采样,这种情况如何编程?

共1条 1/1 1 跳转至

FPGA做AD采样,这种情况如何编程?

菜鸟
2013-11-27 16:46:51     打赏
通过译码来选通要采样的模拟量,比如有6路选通、即有六路模拟量;这样的话,需采样六次才能将所有模拟量采完,然后分别处理这六个量。
如果采样一路的话,感觉好处理一些,循环采样六路,应该如何用verilog HDL来写?
之前觉得AD采样一次本身可以用一个状态机,但是外面还有六个选通的大状态,不知道怎么处理。



关键词: FPGA     采样    

共1条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]