这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » vhdl语言 4位加法器程序

共1条 1/1 1 跳转至

vhdl语言 4位加法器程序

专家
2009-03-18 12:47:11     打赏
vhdl语言 4位加法器程序

 

-------------------------------------------
-- 4-bit binary adder using integer math --
-------------------------------------------

LIBRARY ieee;
USE ieee.std_logic_1164.all;

ENTITY 4b_adder IS

PORT
(
   a_string     : IN INTEGER RANGE 0 TO 15;
   b_string     : IN INTEGER RANGE 0 TO 15;
   sum_string     : IN INTEGER RANGE 0 TO 31;
);

END 4b_adder ;

ARCHITECTURE arc OF 4b_adder IS

sum_string<=a_string+b_string;

END arc;




关键词: 语言     加法器     程序    

共1条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]