这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » 一个用modlesim后仿真的问题

共2条 1/1 1 跳转至

一个用modlesim后仿真的问题

菜鸟
2010-01-27 09:30:00     打赏
请教哪位师兄能帮我看看 一个用modlesim后仿真的问题。 我后仿真的时候输出的一个12位的变量,其中有三位是不定值,其它位都是正常的。 后仿真的时候有警告和报错信息,分别是: Warning: (vsim-3316) No solution possible for some delayed timing check nets. 74 negative limits were zeroed. Error: D:/setted/Xilinx/ISE/verilog/src/simprims/X_FF.v(101): $hold( posedge CLK:1670130 ps, posedge I &&& (in_clk_enable1 != 0):1670220 ps, 152 ps ); # Time: 1670220 ps Iteration: 0 Instance: /tb_TX_MCU/uut/TXPAR_BUF_10 # ** Error: D:/setted/Xilinx/ISE/verilog/src/simprims/X_FF.v(102): $hold( posedge CLK:1803461 ps, negedge I &&& (in_clk_enable1 != 0):1803555 ps, 153 ps ); # Time: 1803555 ps Iteration: 0 Instance: /tb_TX_MCU/uut/TXPAR_BUF_11 # ** Error: D:/setted/Xilinx/ISE/verilog/src/simprims/X_FF.v(101): $hold( posedge CLK:2203457 ps, posedge I &&& (in_clk_enable1 != 0):2203572 ps, 152 ps ); # Time: 2203572 ps Iteration: 0 Instance: /tb_TX_MCU/uut/TXPAR_BUF_14 # ** Error: D:/setted/Xilinx/ISE/verilog/src/simprims/X_FF.v(99): $setup( posedge CE &&& (ce_clk_enable1 != 0):3403406 ps, posedge CLK:3403445 ps, 103 ps ); # Time: 3403445 ps Iteration: 0 Instance: /tb_TX_MCU/uut/TXPAR_BUF_11



关键词: 一个     modlesim     真的     问题    

高工
2010-01-28 10:08:01     打赏
2楼

你的排版看着好吃力啊。
你这三位不确定的位在12位里是怎么分布的呢?
还有posedge I &&& (in_clk_enable1 != 0)在你的程序中是什么意思?
能否粘贴部分代码,才好分析。


共2条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]