这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » DIY与开源设计 » 电子DIY » anmko的进程贴:综合实验-基于DS1302的万年历LCD1602显示(最终版

共223条 6/23 |‹ 4 5 6 7 8 9 ›| 跳转至
工程师
2012-09-28 09:33:35     打赏
51楼
拜大神!!

高工
2012-09-28 10:22:34     打赏
52楼

9、数码管、按键、分频综合实验:数字时钟




分频、按键防抖、数码管显示的综合实验。

功能:
       按键S1、S2分别对进行加1、减1操作;S3、S4分别对进行加10、加1操作;S5、S6分别对进行加10、加1操作。

数字时钟分为:
        1、分频模块:对50MHZ时钟进行分频,得到1HZ的时钟信号,给计数器提供使能信号。
        2、counter_60:模为60的计数器,使能信号一次上升沿计数一次(使能信号需要滤波),计数60次进位。
        3、counter_24:counter_60的进位信号的上升沿使能技术一次(也需要滤波)。
        4、按键模块:主要是防抖和改变计数器的计数(避免信号竞争没有选择驱动使能信号,而是内部直接对寄存器改变)。

        国庆前就更新到这了,视频老王还没上传,下午就出门了,所以估计是上传不了。大家先看图片吧



TOP层源码

module countdown(clk,rst_n,key_in,ledout,disp_reg,disp_bit);

 input clk;
 input rst_n;
 input [5:0] key_in;

 output ledout;
 output [7:0] disp_reg;
 output [7:0] disp_bit;
 
 wire clk_en;
 Div_50M  CLK_DIV
 (
  .clk(clk),
  .rst(rst_n),
  .clk_out(clk_en)
 );
 
 wire [3:0] S_H;
 wire [3:0] S_L; 
 wire SecCout;
 counter60 Sec_COUNT60
 (
  .en(clk_en),
  .clk(clk),
  .rst(rst_n),
  .key1(key_in[0]),
  .key2(key_in[1]),
  .cout(SecCout),
  .HighOut(S_H),
  .LowOut(S_L)
 );
 
 wire [3:0] M_H;
 wire [3:0] M_L; 
 wire MinCout;
 counter60 Min_COUNT60
 (
  .en(SecCout),
  .clk(clk),
  .rst(rst_n),
  .key1(key_in[2]),
  .key2(key_in[3]),
  .cout(MinCout),
  .HighOut(M_H),
  .LowOut(M_L)
 );

 wire [3:0] H_H;
 wire [3:0] H_L; 
 counter24 Hou_COUNT24
 (
  .en(MinCout),
  .clk(clk),
  .rst(rst_n),
  .key1(key_in[4]),
  .key2(key_in[5]),
  .cout(ledout),
  .HighOut(H_H),
  .LowOut(H_L)
 );
 
 scan_display DISP
 (
  .clk(clk),
  .rst_n(rst_n),
  .disp_1th(S_L),
  .disp_2th(S_H),
  .disp_3th(M_L),
  .disp_4th(M_H),
  .disp_5th(H_L),
  .disp_6th(H_H),
  .disp_reg(disp_reg),
  .dis_bit(disp_bit)
  
 );

endmodule


可执行文件:下载
——回复可见内容——


工程师
2012-09-28 11:19:14     打赏
53楼
楼主,我对你的崇拜之情无以言表,太佩服你了,你能不能把你的这些例程和下载文件上传一下,我们仔细学习一下,等焊接完毕板子,先把你的烧录文件下载进去,测试一下,非常感谢

工程师
2012-09-28 11:35:28     打赏
54楼
赶紧下载一下,谢谢了

专家
2012-09-28 15:57:00     打赏
55楼
动作很快,看来以前是玩过FPGA!!

专家
2012-09-28 15:58:20     打赏
56楼
焊接的不错,动作也快!!

工程师
2012-09-28 18:05:39     打赏
57楼
  楼主厉害!向楼主学习!

工程师
2012-09-29 09:55:12     打赏
58楼

楼主速度果然够快啊,我的板子昨天才焊完,今天又要回家,没时间搞啊


工程师
2012-09-29 11:03:00     打赏
59楼
综合实验——数字时钟

助工
2012-09-29 22:22:02     打赏
60楼
好快呀,做了这么多

共223条 6/23 |‹ 4 5 6 7 8 9 ›| 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]