读取按键信息:
思路比较简单,将按键的八位作为输入,并将他作为敏感信号,当有按键按下或是松开时时,触发敏感信号,将按键的键值输出给LED灯显示。按键按下,对应的LED灯亮,松开时对应的灯就灭了。
代码如下:
library ieee;
use ieee.std_logic_1164.all;
entity boma is
port(b:in std_logic_vector(7 downto 0);
d: out std_logic_vector(7 downto 0));
end entity ;
architecture behave of boma is
begin
process(b)
begin
d<=b;
end process;
end behave;
这段代码和拨码开关控制LED亮灭没有区别,有所不同的是在管脚分配时对应的管脚不一样而已。