这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » DIY与开源设计 » 电子DIY » 风语者1991进程帖

共27条 1/3 1 2 3 跳转至

风语者1991进程帖

工程师
2012-10-24 18:06:53     打赏
9月初,申请开发板。
10月,一直在纠结要不要下单,因为这段时间有点紧。
10月18日,决定下单。想深入学习一下FPGA。
10月22日,收到板子。 晒图 http://forum.eepw.com.cn/thread/221976/1#2
10月24日,焊接完毕。晒图   http://forum.eepw.com.cn/thread/221976/1#3
11月3日,上传闪烁灯的视频。http://forum.eepw.com.cn/thread/221976/1#7
                   上传流水灯的代码。http://forum.eepw.com.cn/thread/221976/1#8
                   上传流水灯的视频。http://forum.eepw.com.cn/thread/221976/1#9 
                   上传跑马灯的代码。http://forum.eepw.com.cn/thread/221976/1#10 
                   上传跑马灯的视频。http://forum.eepw.com.cn/thread/221976/1#11
12月20日,上传数码管的显示图片。http://forum.eepw.com.cn/thread/221976/1#15
12月24日,上传数字钟程序。http://forum.eepw.com.cn/thread/221976/1#16
                     上传数字钟视频。http://forum.eepw.com.cn/thread/221976/1#17 
                     上传蜂鸣器程序。http://forum.eepw.com.cn/thread/221976/1#18 
                     上传蜂鸣器视频。http://forum.eepw.com.cn/thread/221976/1#19 
                     上传1602程序。  http://forum.eepw.com.cn/thread/221976/1#20 
                     上传1602图片。  http://forum.eepw.com.cn/thread/221976/1#21       
                     上传12864程序。 http://forum.eepw.com.cn/thread/221976/1#22 
                    上传12864图片。 http://forum.eepw.com.cn/thread/221976/1#23






关键词: 风语者     进程     forum.eepw.com.cn         

工程师
2012-10-24 18:09:54     打赏
2楼
上传初始状态的板子与元件。



工程师
2012-10-24 18:20:30     打赏
3楼
上传焊接完毕的板子。
板子还有问题,需要修改。其中一个引脚被我扮断了。数码管有两个引脚短接了。
核心芯片下的焊盘掉了几个。有点悲剧啊。

高工
2012-10-24 20:01:24     打赏
4楼
数码管的引脚,拿烙铁一挑就开了吧,引脚间距那么大
焊盘掉了的,可以想办法飞线,如果断线在芯片下面就算了

工程师
2012-10-24 23:03:56     打赏
5楼

是主芯片哪里有问题。


工程师
2012-10-26 23:01:31     打赏
6楼
加油哦

工程师
2012-11-03 21:15:46     打赏
7楼
最近有点忙,可能会隔比较长的时间才上传。
这是今天晚上完成的闪烁灯视频。在此要感谢jobs,由于马虎,在敲代码时,少敲了use ieee.std_logic_unsigned.all;这一句导致编译不通过,检查了一个小时,都没检查出来,后来在群里说了一下,jobs一语中的,我一看还真是调用库那里出错了。下面是视频。


工程师
2012-11-03 21:17:22     打赏
8楼

接下来是流水灯的程序
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity liushuideng is
 port(
  clk:in std_logic;
  led:out std_logic_vector(10 downto 0)
  );
end liushuideng;

architecture fh1 of liushuideng is
 signal led_r:std_logic_vector(10 downto 0);
 signal count_r:std_logic_vector(3 downto 0);
begin
process(clk)
begin
 if clk'event and clk='1' then
  if count_r="1111" then
   count_r<="0000";
  else
  count_r<=count_r+1;
  case count_r is
   when "0000" => led_r<="11111111111";
   when "0001" => led_r<="11111111110";
   when "0010" => led_r<="11111111101";
         when "0011" => led_r<="11111111011";
         when "0100" => led_r<="11111110111";
         when "0101" => led_r<="11111101111";
         when "0110" => led_r<="11111011111";
         when "0111" => led_r<="11110111111";
         when "1000" => led_r<="11101111111";
         when "1001" => led_r<="11011111111";
         when "1010" => led_r<="10111111111";
         when "1011" => led_r<="01111111111";
         when "1100" => led_r<="11011111111";
         when "1101" => led_r<="11110111111";
         when "1110" => led_r<="11111101111";
         when "1111" => led_r<="11111111011";
   when others => null;
  end case;
  end if;
 end if;
end process;
led<=led_r;
end fh1;


工程师
2012-11-03 21:29:31     打赏
9楼
流水灯的效果视频

工程师
2012-11-03 21:31:23     打赏
10楼
对于跑马灯,只需要在流水灯的基础上稍作修改就可以了。程序如下:
 count_r<=count_r+1;
  case count_r is
   when "0000" => led_r<="11111111111";
   when "0001" => led_r<="11111111110";
   when "0010" => led_r<="11111111100";
         when "0011" => led_r<="11111111000";
         when "0100" => led_r<="11111110000";
         when "0101" => led_r<="11111100000";
         when "0110" => led_r<="11111000000";
         when "0111" => led_r<="11110000000";
         when "1000" => led_r<="11100000000";
         when "1001" => led_r<="11000000000";
         when "1010" => led_r<="10000000000";
         when "1011" => led_r<="00000000000";
         when "1100" => led_r<="11011111111";
         when "1101" => led_r<="11110111111";
         when "1110" => led_r<="11111101111";
         when "1111" => led_r<="11111111011";
   when others => null;
  end case;

共27条 1/3 1 2 3 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]