焊接完板子也有段时间了,一直在搞ARM的设计没的时间来学习。今天有时间做了个流水灯顺便测试板子。
--库函数
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.numeric_std.all;
--实体
entity led is
port(clk : in std_logic;
led : out std_logic_vector(10 downto 0));
end led;
--结构体描述
architecture behave of led is
signal cnt:std_logic_vector (23 downto 0);
signal data :std_logic_vector(10 downto 0):="11111111110";
begin
process(clk)
begin
if clk'event and clk='1'then
cnt<=cnt+1;
if cnt="111111111111111111111111" then
data<=std_logic_vector(unsigned(data)rol 1);
cnt<="000000000000000000000000";
end if;
end if;
led<=data;
end process ;
end behave;
关键词:
lhy861119
进程