这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » 关于VerilogHDL中位拼接运算符的理解:

共2条 1/1 1 跳转至

关于VerilogHDL中位拼接运算符的理解:

菜鸟
2014-06-12 15:42:03     打赏

1.截取数据的不同位数进行拼接:

 

例:data1 = 0100_1101

data2 = 1011_0011

data = {data1[3:0],data2[7:4]}

则可得:data = 1101_1011

 

2.作为移位运算符使用,即在一个数据中对不同的位进行拼接,可以实现移位的作用:

这里需要补充一下移位运算符的用法:

左移:<<              右移:>>

在使用移位运算符时,无论是有符号还是无符号类型,移位出现的空缺一律用0填补,但是当进行的操作数和结果数位数不一致时,二者有明显的差异:

无符号类型:当操作数和结果数不一致时,需要在高位填0后,再进行移位;

有符号类型:当操作数和结果数不一致时,需要在高位填补符号位后,再进行移位。

 

对于移位运算符,要根据具体的电路去截取不同的位数,这里举一个例子:

data = 0011_0101

data1 = {1’b0,data[7:1]},则data1 = 0001_1010

data2 = (data >> 1),则data2 = 0001_1010

二者实现的效果是一致的。




关键词: VerilogHDL     位拼接运算符    

高工
2020-10-10 15:32:17     打赏
2楼

感谢楼主分享


共2条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]