这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » 在Quartus12.0里调用modelsim出现下面的错误

共5条 1/1 1 跳转至

在Quartus12.0里调用modelsim出现下面的错误

助工
2014-11-05 09:55:26     打赏

请问这是什么问题?



工程师
2014-11-05 10:01:15     打赏
2楼
图片无法放大,,最好把错误,,复制出来,贴出来

院士
2014-11-05 10:28:13     打赏
3楼
直接拖拽打开新窗口就直接放大哦

工程师
2014-11-05 10:54:28     打赏
4楼

看到了,看到了

第一个错误是 ·begin_keywords 未定义,

第二个是语法错误,你看下看哈


专家
2014-11-18 16:07:55     打赏
5楼
编译好了altera的仿真库吗?

共5条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]