共1条
1/1 1 跳转至页
VHDL一问
我编了一个VHDL程序,由生成的时钟驱动计数器,但不知
: 伪钟事件无法实现,望哪位大虾给予帮助!
: 程序如下:
: library ieee;
: use ieee.std_logic_1164.all;
: use work.my_package.all;
: use work.my_clk.all;
: entity traffic is port(
: reset,clk,en:in std_logic;
: light_r,light_y,light_g:out std_logic);
: end traffic;
: architecture traffic of traffic is
: signal time:std_logic;
: begin
: u1:clock port map(clk,time);
: process(time,reset,en)
: variable count:integer:=0;
: variable choice:integer:=0;
: begin
: if(reset='1')then
: light_r<='1';
: light_y<='0';
: light_g<='0';
: choice:=1;
: if((en='1' and choice=1)or choice=0)then
: choice:=0;
: if(time'event and time='1')then
: ~~~~~~~~~~~~~~~~~~~~~~~
: if(count=129)then
: count:=0;
: else
: count:=count+1;
: end if;
: end if;
: case count is
: when 0 to 59 =>light_r<='1';
: light_y<='0';
: light_g<='0';
: when 60 to 69 =>light_r<='0';
: light_y<='1';
: light_g<='0';
: when 70 to 129=>light_r<='0';
: light_y<='0';
: light_g<='1';
: when others =>light_r<='1';
: light_y<='0';
: light_g<='0';
: end case;
: end if;
: end if;
: end process;
: end traffic;
关键词: 一问
共1条
1/1 1 跳转至页
回复
有奖活动 | |
---|---|
【有奖活动】分享技术经验,兑换京东卡 | |
话不多说,快进群! | |
请大声喊出:我要开发板! | |
【有奖活动】EEPW网站征稿正在进行时,欢迎踊跃投稿啦 | |
奖!发布技术笔记,技术评测贴换取您心仪的礼品 | |
打赏了!打赏了!打赏了! |
打赏帖 | |
---|---|
与电子爱好者谈读图四被打赏50分 | |
与电子爱好者谈读图二被打赏50分 | |
【FRDM-MCXN947评测】Core1适配运行FreeRtos被打赏50分 | |
【FRDM-MCXN947评测】双核调试被打赏50分 | |
【CPKCORRA8D1B评测】---移植CoreMark被打赏50分 | |
【CPKCORRA8D1B评测】---打开硬件定时器被打赏50分 | |
【FRDM-MCXA156评测】4、CAN loopback模式测试被打赏50分 | |
【CPKcorRA8D1评测】--搭建初始环境被打赏50分 | |
【FRDM-MCXA156评测】3、使用FlexIO模拟UART被打赏50分 | |
【FRDM-MCXA156评测】2、rt-thread MCXA156 BSP制作被打赏50分 |