这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » MCU » 有关quartusII的编程问题,加急!!!!

共3条 1/1 1 跳转至

有关quartusII的编程问题,加急!!!!

高工
2009-08-03 11:19:45     打赏
转网友bigoal 问题如下,哪位高手回答下吧!

我编写如下程序:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity dds is
port(frec:in std_logic_vector(23 downto 0);
clk:in std_logic;
wr:in std_logic;
sin_out:out std_logic_vector(7 downto 0)
);
end dds;
architecture beh of dds is
signal phase_adder,frq_reg:std_logic_vector(23 downto 0);
signal rom_address,address:std_logic_vector(7 downto 0);
signal rom_out:std_logic_vector(7 downto 0);
signal s1,s2,a1,a2:std_logic;
component dds_rom
port(add:in std_logic_vector(7 downto 0);
inclock:in std_logic;
q:out std_logic_vector(7 downto 0)
);
end component;
begin
data:dds_rom port map(address,clk,rom_out);
datain:process(clk)
begin
if(clk'event and clk='1') then
if(wr='0') then
frq_reg



关键词: 有关     quartusII     编程     问题     加急     log    

高工
2009-08-03 13:35:02     打赏
2楼
Tony,你的问题还没写完吧?

菜鸟
2009-08-05 21:48:10     打赏
3楼
高价收购原装模块、拆机模块★13544123655 周生★
--------------------------------------------------------
高价现金回收工厂欧派克、西门康、三菱、富士等各品牌拆机、原装模块。
需要处理此类产品的朋友请联系我,把库存换成现金,为您资金立马回笼的好生意。
QQ:893884513
E-mail:893884513@qq.com
电话:135-4412-3655(深圳)

共3条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]