这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » DIY与开源设计 » 电子DIY » intrepid的CPLD DIY的开发进程

共6条 1/1 1 跳转至

intrepid的CPLD DIY的开发进程

菜鸟
2013-06-19 21:18:23     打赏

因元件和快递问题,今天刚刚把板子调试好,创建一下进程贴。

1.intrepid的CPLD DIY的开发进程--工具篇

http://forum.eepw.com.cn/thread/232897/1#2

2.intrepid的CPLD DIY的开发进程--焊接调试篇

http://forum.eepw.com.cn/thread/232897/1#3

3.intrepid的CPLD DIY的开发进程--初试verilog

http://forum.eepw.com.cn/thread/232897/1#4





关键词: intrepid     开发     进程    

菜鸟
2013-06-19 21:40:22     打赏
2楼

1.焊台


ATTEN焊台 

2.万用表


万用表 

3.直流可调电源


电源

这三件连同LM3S8962开发板于2008年7月左右从上海北京东路赛格购买,已经沉睡多年,现在刚好派上用场。接下来上开发板图,及简要调试。


菜鸟
2013-06-19 22:18:37     打赏
3楼

因为主板和说明文件很全,焊接对于大家来说没什么困难,所以直接开始说焊接后的注意事项

来和大家交流一下。

1.上主板图


PCBA

2.焊接完毕后先用肉眼检查一下主板上IC,电阻和电容等元器件的pin是否有短路,冷焊,虚焊,漏焊等现象

3.使用万用表打到欧姆档量测5V和3.3V对地阻值,如果在100以内或者只有几欧姆,甚至是0欧,这时候你需要注意了。不要着急上电。再次检查一下是否有焊接短路或者元器件焊接反了或者不匹配。

4.以上检查无问题将可调电源电压调至5V,电流输出调制0A,避免上电烧板。上电后慢慢调节电流旋钮,如果电流超过70mA这时候你需要注意了,如果显示100mA那么你就需要断电检查主板了。我这边上电量测的电流为60mA。

5.上电后连接usb-blaster,打开Quartus II,选择Tool->Progarmmer,弹出烧写程序的对话框。

点击Hardware setup 选择USB-blaster,然后点击add file选择提供的四个例程。然后右侧框出来文件信息,你可以点击文件名字后面的复选框。勾选前三组即可。点击start进行刷写。

6.刷写后会遇到LED数码管显示的不是“7654321”是乱码,这是你的主板元件引脚没有焊接好检查下。我的是有细小锡线短路。庆幸的是IO引脚没有烧掉;烧写按键程序1~7按键有按下去唔蜂鸣器的声音,检查发现CPLD引脚未焊接好。用手用力按压CPLD,同时按压按键就可以发出声音。重新加锡焊接。


刷写四个固件完毕,未发现异常。准备写个demo让蜂鸣器响代码。




菜鸟
2013-06-19 22:40:14     打赏
4楼
module fp_verilog(
clk,rst_n,
beep
);


input clk; //时钟信号,50MHz
input rst_n; //复位信号,低电平有效
output beep; //蜂鸣器,0--响,1--不响

assign beep = 1‘b0;

endmodule


然后选择assignment->pin planner 配置CLK 为pin12 rst _n为pin14及beep为 pin96 


点击start compilantion 开始编译,完毕后出现4个警告忽略

选择programmer直接烧写,将电路板关电开启并未按照想想鸣叫。讲1’b0修改为1'b1后,连上电后一声啪的声音也没有了,冷静下来想了一下,这个应该使用频率来控制发生的。于是修改代码如下:


module fp_verilog(
clk,rst_n,
beep
);


input clk; //时钟信号,50MHz
input rst_n; //复位信号,低电平有效
output beep; //蜂鸣器,0--响,1--不响

reg[15:0] cnt;
always @(posedge clk or negedge rst_n)
if(!rst_n) cnt <= 16'd0;
else cnt <= cnt+1'b1;

reg clk_div_r;

always @ (posedge clk or negedge rst_n)
if(!rst_n) clk_div_r <= 1'b0;
else if(cnt == 16'hffff) clk_div_r <= ~clk_div_r;

assign beep = clk_div_r;
endmodule


编译烧录后,蜂鸣器可以正常发声,OK,准备睡觉。


高工
2013-06-19 22:58:15     打赏
5楼
不错,加油继续!

院士
2013-06-20 08:21:41     打赏
6楼
LZ的做事态度值得称道

共6条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]