这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » ARP协议在FPGA上的实验详解

共1条 1/1 1 跳转至

ARP协议在FPGA上的实验详解

菜鸟
2017-06-29 14:36:53     打赏
上一篇在研究arp时,实在pc系统内进行。然后又做了个pc和fpga千兆以太网的通信。 
大致硬件框架: 

在fpga中根据以太网的传输格式,写一个简单IP核,只对arp协议做判断。 
如下:
因为88E1111使用的是RGMII接口,使用ddrio模块转换一下 
如下: 

在nios软件中将mac的ip地址和mac地址初始化一下,如下 

在pc端,看看arp表 
 
没有192.168.3.8 
用ping命令来产生arp协议数据。 
 
用wireshark抓包 

成功 
再看看arp表 
 
看到已经缓存到arp表中。


共1条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]