这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » 用D触发器实现2倍分频的逻辑电路

共1条 1/1 1 跳转至

用D触发器实现2倍分频的逻辑电路

工程师
2019-08-03 15:24:32     打赏

module divide2( clk , clk_o, reset);     input     clk , reset;     output   clk_o;     wire in;   reg out ;     always @ ( posedge clk or posedge reset)       IF ( reset)         out <= 0;           else             out <= in;         assign in = ~out;         assign clk_o = out;       endmodule



共1条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]