这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 综合技术 » 在mp2下用vhdl实现三态门

共1条 1/1 1 跳转至

在mp2下用vhdl实现三态门

菜鸟
2005-09-06 20:34:49     打赏
entity tri_bus is port( iobus : inout std_logic; din : in std_logic; dout : in std_logic; out_en : in std_logic ); end tri_bus; architecture arch_tri_bus of tri_bus is begin din <= iobus; iobus <= dout when out_en = '1' else 'Z'; end arch_tri_bus;



关键词: 下用     实现     三态    

共1条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]