这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » 嵌入式开发 » FPGA » modelsim使用技巧

共6条 1/1 1 跳转至

modelsim使用技巧

助工
2012-02-20 15:50:12     打赏
在使用modelsim时,有时会出现几个问题:
(1)打开modelsim软件时提示错误或者是在compile或者simulation时提示出现无法找到verilog/VHDL license的error的情况,应该是软件还未完全破解,重新破解一下,注意license文件需要在使用机子上重新生成一边,并且记得建立环境变量;
(2)在simulation时,找不到代码中的变量,应该是在simulation参数中设置了优化选项,解决办法是去掉优化选项,或者使能优化选项,然后在优化选项复选框内把“No Design Objective Visibility”设定改成"Apply Full Visibility to All Module"。



关键词: modelsim     使用技巧    

菜鸟
2012-02-21 22:15:41     打赏
2楼
不错,谢谢

菜鸟
2012-02-22 10:42:53     打赏
3楼
大学使用过modelsim和quartus,一直不是很理解他们之间的区别,各自用于什么呢

菜鸟
2012-11-04 09:58:04     打赏
4楼

我现在还是不知道怎么灵活使用二者?


菜鸟
2012-11-21 22:13:16     打赏
5楼
谢谢,不错

高工
2012-11-26 23:31:42     打赏
6楼
Modelsim主要用于波形仿真,功能仿真(布局布线前,综合之后)和时序仿真(布局布线后);只要加入FPGA厂家(Altera、Xilinx、Actel等)的器件库就可以针对相应的器件进行仿真,当然也可以被Altera的Quartus II或者Xilinx的ISE集成开发环境调用。
而Quartus II则是Altera的FPGA EDA设计工具,包含从HDL源代码/原理图(符号)/IP库/输入,到综合、仿真、适配(布局布线)、各种格式的下载文件生成以及调试(SignalTap II),它仅适用于是Altera的FPGA或者CPLD器件

共6条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]