这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » DIY与开源设计 » 电子DIY » pansan345的进程帖

共9条 1/1 1 跳转至

pansan345的进程帖

助工
2012-10-25 22:08:54     打赏

我是10月9提交的申请(EEPW FPGA开发板DIY活动——商品二 ),感谢EEPW及网站管理员的支持当天就批准了我的申请,当天下的单,12号发的货,17号收到的,由于手头工作任务多,只能晚上加班,花了两个晚上于19号焊接完毕,下图是收到的器件。




关键词: pansan345     进程    

助工
2012-10-25 22:59:04     打赏
2楼

晚上拍的效果不好,本来想做个完整点的焊接视频的,由于近来事情颇多,只拍了一部分核心板的焊接,效果也不大好,我总结了一下使用简单工具(一把防静电尖头电烙铁、一把镊子、焊锡丝、少量助焊剂)焊接要点(主要是多脚的器件,脚少的谁都焊得好),1.疏脚元件,先给靠右上方的那只脚上锡(当然根据自己的情况,如果左手使烙铁习惯,可以给左上方的那只脚先上锡),用镊子将元件放到上面对好引脚用烙铁固定右上的那只脚,等焊锡凝固,观察元件是否摆正,歪了重新摆好并固定,然后可以再固定斜对着也就是左下角的那只脚,接下来大家都是知道了。
2.密脚器件,我的常规做法是先将PCB板器件焊盘上锡,观察焊盘上的上锡量均匀无短路,再把器件放上去,如果能在焊盘上涂点松香水再放器件效果会好点,器件与PCB封装的引脚标志对好以免焊错,小心对齐四面引脚,将烙铁头上的焊锡擦拭干净,这一步很重要,因为引脚间距很近,烙铁头带锡易造成引脚短路,如果技术好点可以用软铜线粘焊剂吸锡,操作不好可能会引起引脚歪斜、焊盘脱落,所以一定要将烙铁头擦干净,然后先将器件一只引脚固定,仔细观察四周引脚与焊盘对齐情况,仔细调整,用手指压住器件,用烙铁轻轻地在每只脚上压一下观察焊锡熔化即可抬起,就这样依次烫完每只脚,注意只能压不能拖,管脚很脆弱容易歪斜。
        做产品的人,说明书写多了,有点罗嗦,别介意。

助工
2012-10-27 22:10:49     打赏
3楼

板子焊好了,花了我整整两个晚上四个小时

助工
2012-10-27 22:51:50     打赏
4楼

——回复可见内容——

首先将板放平,

将密脚元件(2片主芯片)所有焊盘均匀上锡,两端、三端或疏脚元件其中一焊盘上锡,原则是放上元件后用烙铁焊接顺手为易。如上图是上好锡的核心板。


助工
2012-10-27 22:54:24     打赏
5楼

明天继续,


助工
2012-11-18 00:09:32     打赏
6楼

LED是点亮了,少了两个,经检查发现PIN138和139没焊好,
现在可以了

助工
2012-11-18 22:09:37     打赏
7楼
这是闪烁灯代码0.5Hz,亮1S灭1S

助工
2012-11-26 22:27:35     打赏
8楼


module test(input clk,reset,output reg[7:0] led);
 reg [32:0] buffer;
 reg [7:0] num;
 reg [7:0] ledMov=8'b11111111;
 always @ (posedge clk)
 begin
  if(!reset)
  begin
   buffer=0;
   num=0;
   ledMov[7:0]=8'b11111111;
  end
  else
  begin
   buffer=buffer+1;
   if(buffer==50000000)
   begin
    buffer=0;
     //if(num==0)
     // begin
     //  led[7:0]=8'b00000000;
     ledMov=8'b00000001<<num;
     led[7:0]=~ledMov[7:0];
       num=num+8'b00000001;
     if(num>8'b00000111)
     begin
      num=0;ledMov[7:0]=8'b11111111;
     end
     // end
     //else
     // begin
     //  led[7:0]=8'b11111111;
     //  num=0;
     // end
   end
  end
 end
endmodule

助工
2012-11-26 22:57:52     打赏
9楼



module test(input clk,reset,output reg[7:0] led);
 reg [32:0] buffer;
 reg [7:0] num;
 reg [7:0] ledMov<=8'b11111111;
 always @ (posedge clk)
 begin
  if(!reset)
  begin
   buffer<=0;
   num<=0;
   ledMov[7:0]<=8'b11111111;
  end
  else
  begin
   buffer<=buffer+1;
   if(buffer==50000000)
   begin
    buffer<=0;
     //if(num==0)
     // begin
     //  led[7:0]<=8'b00000000;
     ledMov<=ledMov&(8'b11111110<<num);
     led[7:0]<=ledMov;
       num<=num+1'b1;
     if(num>1'd7)
     begin
      num<=1'd0;
      ledMov[7:0]<=8'b11111111;
     end
     // end
     //else
     // begin
     //  led[7:0]<=8'b11111111;
     //  num<=0;
     // end
   end
  end
 end
endmodule

终于凑合上了,呵呵

共9条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]