这些小活动你都参加了吗?快来围观一下吧!>>
电子产品世界 » 论坛首页 » DIY与开源设计 » 电子DIY » 看了老师的FPGA网上免费培训的IP核之单口RAM,自己写的testbench不

共4条 1/1 1 跳转至

看了老师的FPGA网上免费培训的IP核之单口RAM,自己写的testbench不出波形,小弟求助啊!

菜鸟
2014-11-17 19:16:58     打赏

源代码:

`timescale 1 ns/ 1 ps
module M4K_ram (clk,rst,data_out);


input clk,rst;
output[3:0] data_out;


reg[3:0]  data_in;
reg[4:0]  addr_cnt;
reg wren;


always @(posedge clk or negedge rst)
begin
    if (!rst) 
  addr_cnt <= 0;
else 
  addr_cnt <= addr_cnt+1'b1;
end


always @(posedge clk or negedge rst)
begin
    if (!rst)
  wren <= 1'b1;
else if (addr_cnt == 5'd31)
  wren <= ~wren;
end

always @(posedge clk or negedge rst)
begin
    if (!rst)
  data_in <= 0;
else if (addr_cnt == 5'd31 && ~wren)
  data_in <= data_in + 1'b1;
end


ram ram_inst ( .address (addr_cnt),
             .clock (clk),
             .data (data_in),
             .wren (wren),
             .q (data_out)  );
endmodule


testbench为:

`timescale 1 ns/ 1 ps
module M4K_ram_vlg_tst();


reg clk;
reg rst;                                           
wire [3:0]  data_out;                         
M4K_ram i1 (
.clk(clk),
.data_out(data_out),
.rst(rst)
);
initial  begin                                               
         clk=0;  
         forever
         #10 clk= ~clk;                      
end  
initial  begin                                               
         rst=0;
     #1000;
         rst=1;
         #20000;
         $stop;
end                                                 
always                                                            
begin                                                  
                                          
end                                                    
endmodule
谢谢!


菜鸟
2014-11-19 14:22:04     打赏
2楼

就像下图啊,为啥连时钟信号都没有呢



菜鸟
2014-11-19 14:24:25     打赏
3楼
这个仿真不用配置硬件的引脚把

菜鸟
2014-11-19 14:25:18     打赏
4楼
看了视频Ram的配置是没问题的啊

共4条 1/1 1 跳转至

回复

匿名不能发帖!请先 [ 登陆 注册 ]